Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a

Similar documents
Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region

A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme

Reduction of Subthreshold Leakage Current in MOS Transistors

Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations

Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay

Review Article Device and Circuit Design Challenges in the Digital Subthreshold Region for Ultralow-Power Applications

A low supply voltage and wide-tuned CMOS Colpitts VCO

Lecture 10: Efficient Design of Current-Starved VCO

Chapter VI Development of ISFET model. simulation

Design Methodology for Fine-Grained Leakage Control in MTCMOS

Comparative Analysis of Voltage Controlled Oscillator using CMOS

PHASE-LOCKED loops (PLLs) are one of the key building

5. Low-Power OpAmps. Francesc Serra Graells

Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications

ULTRA LOW POWER SUBTHRESHOLD DEVICE DESIGN USING NEW ION IMPLANTATION PROFILE. A DISSERTATION IN Electrical and Computer Engineering and Physics

Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University

IN RECENT years, the demand for power-sensitive designs

A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY

Texas A&M University Electrical Engineering Department. ELEN 665 RF Communication Circuits Laboratory Fall 2010

VLSI Design, Fall Design of Adders Design of Adders. Jacob Abraham

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar

Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor

Post-Synthesis Sleep Transistor Insertion for Leakage Power Optimization in Clock Tree Networks

Variation Aware Sleep Vector Selection in Dual Dynamic OR Circuits for Low Leakage Register File Design

An Energy Efficient Sensor for Thyroid Monitoring through the IoT

Silicon Amnesia and Dementia:

A Multiple-Crystal Interface PLL With VCO Realignment to Reduce Phase Noise

DATE 2006 Session 5B: Timing and Noise Analysis

SUBTHRESHOLD CIRCUIT DESIGN AND OPTIMIZATION. Sungil Kim

Current Testing Procedure for Deep Submicron Devices

Implementation of a Multi bit VCO based Quantizer using Frequency to Digital Converter

Timing-Based Delay Test for Screening Small Delay Defects

HIGH-VOLTAGE SUPER-JUNCTION SOI-LDMOSFETS WITH REDUCED DRIFT LENGTH

Neuromorhic Silicon Neuron and Synapse: Analog VLSI Implemetation of Biological Structures

Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs

Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop

A BIST Scheme for Testing and Repair of Multi-Mode Power Switches

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK. Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I

A Review on Ultra Low Power Design Technique: Subthreshold Logic

Path to High-Quality Films on Continuous Substrates

Statistical Analysis of MUX-based Physical Unclonable Functions

Fig. 1: Typical PLL System

Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

OCCLUSION REDUCTION SYSTEM FOR HEARING AIDS WITH AN IMPROVED TRANSDUCER AND AN ASSOCIATED ALGORITHM

Modulation Coding for Flash Memories

TOTAL IONIZING DOSE TEST REPORT

Multi-band LC VCO GHz PMCC_VCOMB12G

Application of Op-amp Fixators in Analog Circuits

Ultra Low-Power Algorithm Design for Implantable Devices: Application to Epilepsy Prostheses

FIR filter bank design for Audiogram Matching

Implantable Microelectronic Devices

Bayesian Bi-Cluster Change-Point Model for Exploring Functional Brain Dynamics

TOTAL IONIZING DOSE TEST REPORT

Power Turn-On. IEEE 802.3af DTE Power via MDI March Dieter Knollman AVAYA

Speed Accuracy Trade-Off

Measuring Heart Rate and Blood Oxygen Levels for Portable and Wearable Devices

Test Equipment Depot Washington Street Melrose, MA TestEquipmentDepot.com

DOWNLOAD OR READ : TAKE CHARGE OF BIPOLAR DISORDER PDF EBOOK EPUB MOBI

BHARATH INSTITUTE OF HIGHER EDUCATION AND RESEARCH ODD SEMESTER UNIVERSITY EXAMINATION TIME TABLE / NOV

Digital Micromirror Device Reliability and Failure Mechanisms

Design and Implementation of Programmable Hearing Aid Using FPAA

Frequency Tracking: LMS and RLS Applied to Speech Formant Estimation

MPS Baseline proposal

Adaptive Mode Control: A Static-Power-Efficient Cache Design

Biosensor and its electrochemical transducer

Variable Frequency Drives Lessons Learned

Leakage Currents of Zinc Oxide Surge Arresters in 22 kv Distribution System Using Thermal Image Camera

Radiation-Hard & Self-Healing SubstrateAgnostic Nanocrystalline ZnO TFE

Assessment of Reliability of Hamilton-Tompkins Algorithm to ECG Parameter Detection

Statistical Analysis of MUX-based Physical Unclonable Functions

Non-Invasive Method of Blood Pressure Measurement Validated in a Mathematical Model

Quick detection of QRS complexes and R-waves using a wavelet transform and K-means clustering

ENVIRONMENTAL REINFORCEMENT LEARNING: A Real-time Learning Architecture for Primitive Behavior Refinement

NEURONS Chapter Neurons: specialized cells of the nervous system 2. Nerves: bundles of neuron axons 3. Nervous systems

HIGH-VOLTAGE devices such as lateral diffusion transistors

Verilog-AMS-PAM: Verilog-AMS integrated with Parasitic-Aware Metamodels for Ultra-Fast and Layout-Accurate Mixed- Signal Design Exploration

Parametric Optimization and Analysis of Adaptive Equalization Algorithms for Noisy Speech Signals

In-Ear Microphone Equalization Exploiting an Active Noise Control. Abstract

Unified Padring Design Flow

TWO HANDED SIGN LANGUAGE RECOGNITION SYSTEM USING IMAGE PROCESSING

Intro. Comp. NeuroSci. Ch. 9 October 4, The threshold and channel memory

Supplemental Material

BIONB/BME/ECE 4910 Neuronal Simulation Assignments 1, Spring 2013

Biomimetic Cortical Nanocircuits: The BioRC Project. Alice C. Parker NSF Emerging Models of Technology Meeting July 24, 2008

Proceedings of Meetings on Acoustics

ScienceDirect. Design and Fabrication of Fully Implantable MEMS Cochlea

Efficient Feature Extraction and Classification Methods in Neural Interfaces

Ion Implant Applications to Enable Advances in Semiconductor Technologies

MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility

AUTOMATIONWORX. User Manual. UM EN IBS SRE 1A Order No.: INTERBUS Register Expansion Chip IBS SRE 1A

ULTRASONIC ARRAY APPROACH FOR THE EVALUATION OF ELECTROFUSION JOINTS OF POLYETHYLENE GAS PIPING

EEL 6586, Project - Hearing Aids algorithms

E2 Programming Start Up Guide for the M400 drive.

Chapter 8 Multioperand Addition

Design of Context-Aware Exercise Measurement SoC Based on Electromyogram and Electrocardiogram

TIMS Lab 1: Phase-Locked Loop

Want more lessons like this? Want a modifiable document? Visit aapt.org/k12

Total Ionizing Dose Test Report. No. 16T-RT3PE3000L-CG896-QMLPK

Transcription:

10.1149/05201.1079ecst The Electrochemical Society Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability Masanori Hashimoto a a Department of Information Systems Engineering, Osaka University Subthreshold circuits are drawing attention for ultra-low power application. However, subthreshold circuits have inherent problems that their performance is extremely sensitive to manufacturing and environmental variability and they are susceptible to soft errors. This paper reviews characteristics and problems of subthreshold circuits, and discusses some works for improving the robustness of subthreshold circuits from device, circuit and CAD perspective. Introduction Supply voltage scaling is a key to reduce the power dissipation in a CMOS digital circuit. Especially, an aggressive voltage scaling down to threshold voltage can achieve a significant reduction in power dissipation (1). For a long time, this region, i.e. subthreshold region, has been considered as a troublesome region causing a leakage current of a transistor. However, recent researches have proposed to exploit subthreshold region for ultra-low power operation, since aggressive process scaling down to nano scale allows an operation with clock frequencies up to megahertz range. Figure 1 plots the VTC (voltage transfer characteristic) of a CMOS inverter in a 90-nm CMOS process at various supply voltages. Threshold voltages of NMOS and PMOS in this process are both 0.3 0.4 V. These VTCs indicate that the inverter can function correctly even when the supply voltage is 0.1 V which is deeply below the threshold voltage. A theoretical limit for the lowest operation voltage is estimated to 52 mv (2). A circuit operating at lower supply voltage than the threshold voltage is called a subthreshold circuit. In this paper, near-threshold circuits are included in subthreshold circuits. Subthreshold circuits work just at low speed, while consuming ultra-low power. Thus, it is appropriate to apply subthreshold circuits to severely energy-constrained equipment with low demands for their operation speeds. Promising applications include processors for sensor networks such as habitat monitoring (3), health monitoring (4), and structural health monitoring (5), and biomedical equipment such as hearing aid (6). For such ultra-low power applications, various subthreshold circuits have been proposed. At early research stage, basic circuit components such as adders, multipliers and filters are reported (6-10). Later, advanced processors operating in subthreshold region are implemented (11-20). Looking at recent two years, Intel developed an IA-32 microprocessor that operates at 280 mv to 1.2 V (21). TI designed a full DSP at 0.6 V (22). In addition as a new application, a cubic-millimeter wireless intraocular pressure sensor is proposed (23). A new trend with a small volume implementation and permanent operation by energy harvesting is expected. This paper reviews characteristics of subthreshold circuits and introduces techniques for coping with manufacturing and environmental variability. 1079

Characteristics and problems of subthreshold circuits Characteristics in subthreshold region A MOSFET operates in weak inversion or subthreshold region when its gate-source voltage V gs is below its threshold voltage V th. In this region, the drain current I ds of NMOS can be written as (9) I ds nvt I e, [1] 0 Vgs Vth W 2 I0 Cox ( n 1) Vt. [2] L where is the mobility, C ox is the gate-oxide capacitance, n is the subthreshold swing parameter, L is the channel length, W is the channel width, and V t is the thermal voltage. Delay time T d of a subthreshold circuit operating at supply voltage V is proportional to the inverse of ON current Ion which is a drain current at V gs = V ds = V. 1 1 1 Td. [3] V Vth Ion Ids( Vds Vgs V) nvt e This equation indicates that a circuit delay in a subthreshold circuit has the exponential dependence on supply voltage V. Figure 2 plots a simulated oscillation frequency of a 17-stage RO (ring oscillator) as a function of the supply voltage in a 90- nm CMOS technology. The oscillation frequency drops exponentially as the supply voltage is reduced. The frequency at V = 0.1 V is 1/3000 smaller than that at V = 1.0 V which is a nominal supply voltage of this process. Next the power dissipation of subthreshold circuits is discussed. Power dissipation P of a CMOS digital circuit with operating frequency f is calculated as 2 P CV f t V I f V I. [4] sc sc leak The first term represents a dynamic power dissipation, where is the switching activity and C is the total capacitance of the circuit. The second term is a power dissipation by the direct path current I sc, where t sc represents the period when both PMOSs and NMOSs are conducting. The third term is a leakage power dissipation where I leak is the total leakage current of the circuit. All the terms have the same directional dependence on V, that is, lowering V can reduce the power dissipation. Figure 3 shows a relation between the power dissipation and the supply voltage in a 17-stage RO. The power dissipation at V = 0.1 V is reduced by 1/10000 indeed in comparison with that at V = 1.0 V. Vout (V) 1.0 0.9 0.8 0.7 0.6 0.5 0.4 V=0.3 V 0.3 0.2 0.1 V =0.1 V V=0.5 V V=1.0 V 0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 Vin (V) Frequency (Hz) 1e+10 1e+09 1e+08 1e+07 1e+06 1/3000 0.2 0.4 0.6 0.8 1 Power dissipation (W) 1e-03 1e-05 1e-07 1e-09 1/100000 0.2 0.4 0.6 0.8 1 Fig. 1: Voltage transfer Fig. 2: Oscillating frequency Fig.3: Power dissipation of Curve of an inverter in 90 a 17-stage ring oscillator a 17-stage ring oscillator in nm process. in 90 nm process. 90 nm process. 1080

Optimal supply voltage Equation 4 implies that an ultra-low-voltage operation can reduce the power dissipation extremely. Some previous works (2, 24-26) explored the minimum supply voltage by adjusting the body-bias voltages to balance threshold voltages of NMOSs and PMOSs. An FIR filter can achieve 85 mv operation in (25) and implementation using Schmitt-Trigger logic enables 62 mv operation (26). On the other hand, many researches (9-11, 14 19, 27) have pointed out that the lowest supply voltage is not optimal in terms of energy efficiency. An energy per cycle E can be derived from Eq. 4 as P 2 V I E leak CV. f f [5] where the power dissipation by the direct path current is ignored for simplicity. From Eq. 1, leakage current I leak is derived as, I leak Vth nvt I ( V V, V 0V) e. [6] ds ds gs This equation implies that I leak does not depend on V. Strictly speaking, V th is affected by V, yet the influence is a secondary effect and it is small. In contrast, operating frequency exponentially drops as the supply voltage is lowered as shown in Fig. 2. Consequently, the leakage energy, the second term in Eq. 6, dramatically rises in subthreshold region. Since the first term, the dynamic energy, is reduced by a decrease in the supply voltage, the leakage energy becomes dominant below a certain supply voltage. For example, the dynamic and leakage energy of a ripple carry adder when the clock cycle is set to its delay are plotted in Fig. 4. As shown in this figure, the total energy becomes the smallest at 300 mv, and the operation at below this voltage is less meaningful in terms of energy efficiency. The optimal voltage depends on a circuit structure, and measurement results in various subthreshold circuits (9 11, 14 19) show that the optimal supply voltages are between 250 mv and 400 mv. Problems Subthreshold circuits have a problem that their performances are extremely sensitive to manufacturing variability and environmental variability such as temperature and supply voltage fluctuations. In addition, subthreshold circuits have been thought to be vulnerable to soft errors. This paper focuses on manufacturing variability and environmental fluctuation. Device parameters such as threshold voltage, channel length, and oxide thickness of every transistor in a circuit fluctuate due to manufacturing variability. Figure 5 shows oscillation frequencies of a 17-stage RO at SS (slow NMOS and slow PMOS) and FF (fast NMOS and fast PMOS) process corners in a 90-nm CMOS process. When the supply voltage is 1.0 V, the frequency at the best process corner is 1.7 times as fast as that at the worst corner. As the supply voltage is lowered down to 0.3 V, the frequency at the best process corner becomes 8 times faster. This means that manufacturing variability has a great impact on the performance fluctuation in subthreshold circuits. In this thesis, temperature and supply voltage variations are considered as environmental variability. First, the sensitivity to temperature is described. The circuit delay depends on threshold voltage V th and mobility μ according to Eq. 3. The rise in temperature decreases V th, which causes speeding up the circuit, whereas the rise in temperature decreases μ, which results in the degradation of the circuit delay. This means that the influence of temperature on the circuit delay depends on the supply voltage (29). Figure 6 illustrates the simulated oscillation frequency in a 17-stage RO as a function of 1081

temperature. When supply voltage V is 0.3 V, the frequency at 80 C doubles comparing to that at 25 C. On the other hand, when V is 1.0 V, the frequency at 80 C is lower by just 4%. This means that the circuit delay in the subthreshold circuits is also significantly sensitive to temperature. As for the supply voltage fluctuation, many researchers have paid attention to power supply noise in super-threshold circuits. However, it is expected that power supply noise has a less impact on subthreshold circuits, because the current is reduced exponentially as the supply voltage is lowered and the noise magnitude becomes much smaller. On the other hand, applications powered by a battery or energy scavenging often suffer from the degradation in the supply voltage level due to low battery charge or bad environmental condition. Since the performance of subthreshold circuits is sensitive to the supply voltage as described in Fig. 2, the supply voltage is also taken into account as a factor of environmental variability. Energy (fj/cycle) 80 70 60 50 40 30 20 10 Total Energy Dynamic Energy Leakage Energy 0 0.1 0.2 0.3 0.4 0.5 0.6 Frequency (Hz) 1e+10 1e+09 1e+08 1e+07 x8 Best Case Worst Case x1.7 1e+06 1 V=1.0V -4% 1e+05 0.8 0.2 0.4 0.6 0.8 1 20 30 40 50 60 70 80 90 Temperature ( C) Frequency (Relative) 2.2 1.8 1.4 V=0.3V Fig. 4: Energy per cycle of Fig. 5: Frequency of a 17- Fig. 6: Frequency and carry propagation adder in stage RO in best and worst temperature of a 17-stage 90nm process. corners in 90nm process. RO in 90nm process. +100% Robust subthreshold circuit design We are working for putting subthreshold circuits robust to practical use at device, circuit and CAD levels. At device level, we constructed a transistor variability model that reproduces subthreshold circuit performance (29), and evaluated soft error immunity of subthreshold SRAM (30-32). At circuit level, adaptive performance control is studied parting from conventional worst-case design (33). For implementing efficient adaptive control, design methods are developed, such as a stochastic evaluation framework of timing error and power consumption (34) and body-bias clustering (35). Furthermore, we propose a self-timed processor to cope with large variation in memory access time (36). In this paper, adaptive speed control is introduced below. V th variation due to manufacturing variability and temperature fluctuation significantly varies speed and power consumption of subthreshold circuits. If adding up worst-cases for each variation factor, power dissipation may increase more than 10x. We therefore devise an adaptive speed control scheme shown in Figure 7 (33). The error predictive flip-flop causes a setup violation earlier than the main flip-flop due to the inserted delay element. This error signal is used as a warning signal indicating a shortage of timing slack, and the circuit is speeded up or down according to this signal. This adaptive speed control is applied to a 32-bit Kogge-Stone adder. A test chip was fabricated in 65nm process. Figure 8 shows a measurement results under temperature variation. In this test chip, the circuit speed is adjusted by body-biasing. (a) corresponds to the proposed speed control, (b) is the power dissipation when 200 mv forward bodybias is given to satisfy the speed requirement at 25 C, and (c) is the power dissipation when the minimum body-bias is given at each temperature. This result shows that the 1082

power dissipation of the proposed speed control is close to (c) and the speed control is well working. Compared to conventional adaption of (b), the power dissipation is reduced by 40%. When this adaptive speed control is applied to run-time operation, there is a fundamental problem that timing errors cannot be completely eliminated. This is because the circuit could be slowed down excessively, if critical paths are not activated for a long time, the circuit could be slowed down excessively. The probability of timing error occurrence depends on the positions of error predictive flip-flops and the amount of delay element. In order to quantitatively evaluate the timing error probability and its dependence on design parameters, we developed a stochastic evaluation framework using Markov model (34). A 32-bit ripple carry adder is analyzed by the proposed framework. Figure 9 shows an evaluation result when the location of error predictive flip-flop is varied. For each location, several points are plotted where the value of delay element is changed. This figure shows that there is a trade-off between timing error probability and power dissipation. In addition, depending on the target error probability, the optimal position and its delay value change, and they can be identified by the proposed framework. Finally, a body-bias clustering is introduced which efficiently controls circuit speed. It generates several gate groups in each of which the same body voltage is shared, taking into account the timing slacks of gates. By changing the body voltage group by group, a finer performance control is realized. (35) proposes a tuning-friendly body-bias clustering method. In the clustering, timing and leakage after speed control are analyzed statistically, and an optimal clustering for minimizing the average leakage after adaptation is explored. The proposed method is applied to a multiplier (V =300mV), and leakage power is reduced by 70% compared to non-clustering case. Waning if slack becomes < delay buffer warning signal is: detected speed up not detected slow down Delay buffer Error PredictiveFF Comparator Speed control unit Warning signal Fig7: Adaptive speed control using timing error predictive flip-flop. Fig. 8: Measurement result of adaptive speed compensation (65nm, 3MHz, 0.35V). Fig. 9: An evaluation result on relation design parameters, MTBF and power dissipation. 1083

Conclusion This paper discussed the characteristics and problems of subthreshold circuits, and presented a solution based on adaptive speed control. Application-oriented development is one of future works in addition to improving design methodology. This work is partly supported by NEDO. Acknowledgments References 1. A.W. Wang, et. al., Sub-threshold Design For Ultra Low-Power Systems, New York: Springer (2006). 2. A. Bryant, et. al., IEEE Device Research Conference, pp. 22 23 (2001). 3. A. Cerpa, et. al., SIGCOMM Computer Communication Review, pp. 20 41 (2001). 4. K. Yano, et. al. ISSCC, pp. 136 137 (2008). 5. K. Chintalapudi, et. al., Int l Symp. Mediterrean Conf. Control and Automation, pp. 322 327 (2005). 6. C.H. Kim, et. al., IEEE Trans. VLSI Systems, vol. 11, no. 6, pp. 1058 1067 (2003). 7. J.T. Kao, et. al., JSSC, vol. 37, no. 11, pp. 1545 1554, Nov. 2002. 8. A. Wang and A. Chandrakasan, JSSC, vol. 40, no. 1, pp. 310 319 (2005). 9. B.H. Calhoun, et. al., JSSC, vol. 40, no. 9, pp. 1778 1786 (2005). 10. B.H. Calhoun and A.P. Chandrakasan, JSSC, vol. 41, no. 1, pp. 238 245 (2006). 11. L. Nazhandali, et. al., ISCA, pp. 197-207 (2005). 12. B. Zhai, et. al, Symp. VLSI Circuits, pp. 154 155 (2006). 13. S. Hanson, et. al., Symp. VLSI Circuits, pp.152 153 (2007). 14. S. Hanson, et. al., JSSC, vol. 43, no. 4, pp. 831 891 (2008). 15. M. Seok, et. al., Symp. VLSI Circuits, pp. 188 189 (2008). 16. S. Hanson, et. al., JSSC, vol. 44, no. 4, pp. 1145 1155 (2009). 17. J. Kwong, et. al., JSSC, vol. 44, no. 1, pp. 115 126 (2009). 18. H. Kim, et. al., ISSCC, pp.207 210 (2006). 19. J.S. Wang, et. al., JSSC, vol. 40, no. 5, pp. 1157 1165 (2005). 20. J.S. Wang, et. al., ISSCC, pp.294 295 (2007). 21. S. Jain, et. al., ISSCC, pp.66-68 (2012). 22. G. Gammie, et. al, ISSCC, pp.132-134 (2011). 23. G. Chen, et. al, ISSCC, pp.310-312 (2011). 24. G. Ono and M. Miyazaki, JSSC, vol. 38, no. 5, pp. 830 833, (2003). 25. M.E. Hwang, et. al., Symp.VLSI Circuits, pp. 154 155 (2007). 26. N. Lotze, Y. Manoli, JSSC, vol.47, no.1, pp.47-60 (2012). 28. D. Kuroda,et. al., COOL Chips, p.190, (2010). 28. K. Kanda, et. al., JSSC, vol. 36, no. 10, pp. 1559 1564 (2001). 29. H. Fuketa, et. al., IEEE Trans. VLSI Systems, vol. 18, no. 7, pp. 1118 1129 (2010). 30. H. Fuketa, IRPS, pp. 213 217 (2010). 31. H. Fuketa, et. al., IEEE Trans. Nuclear Science, vol. 58, no. 4, pp. 2097 2102 (2011). 32. R. Harada, et. al., IEEE Trans. Nuclear Science, vol. 59, no. 6, pp. 2791-2795, (2012). 33. H. Fuketa, et. al., IEEE Trans. VLSI Systems, vol. 20, no. 2, pp. 333-343 (2012). 34. H. Fuketa, et. al., IEICE Trans. Fundamentals, vol.e92-a, no.12 (2009). 35. K. Hamamoto, et. al., ISLPED (2009). 36. H. Fuketa, et. al., IEEE Trans. CAS II, vol. 58, no. 5, pp. 299 303 (2011). 1084