A 95% accurate EEG-connectome Processor for a Mental Health Monitoring System

Size: px
Start display at page:

Download "A 95% accurate EEG-connectome Processor for a Mental Health Monitoring System"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) ISSN(Online) A 95% accurate EEG-connectome Processor for a Mental Health Monitoring System Hyunki Kim 1, Kiseok Song 2, Taehwan Roh 2, and Hoi-Jun Yoo 1 Abstract An electroencephalogram (EEG)-connectome processor to monitor and diagnose mental health is proposed. From 19-channel EEG signals, the proposed processor determines whether the mental state is healthy or unhealthy by extracting significant features from EEG signals and classifying them. Connectome approach is adopted for the best diagnosis accuracy, and synchronization likelihood (SL) is chosen as the connectome feature. Before computing SL, reconstruction optimizer (ReOpt) block compensates some parameters, resulting in improved accuracy. During SL calculation, a sparse matrix inscription (SMI) scheme is proposed to reduce the memory size to 1/24. From the calculated SL information, a small world feature extractor (SWFE) reduces the memory size to 1/29. Finally, using SLs or small word features, radial basis function (RBF) kernel-based support vector machine (SVM) diagnoses user s mental health condition. For RBF kernels, look-up-tables (LUTs) are used to replace the floating-point operations, decreasing the required operation by 54%. Consequently, The EEGconnectome processor improves the diagnosis accuracy from 89% to 95% in Alzheimer s disease case. The proposed processor occupies 3.8 mm 2 and consumes 1.71 mw with 0.18μm CMOS technology. Index Terms Connectome, EEG processor, brain disease, synchronization likelihood, wearable Manuscript received Mar. 7, 2016; accepted Mar. 29, School of EE, KAIST, 291 Daehak-roYuseong-gu, Daejeon, Republic of Korea 2 K-Healthware, 71 Jukdong-ro, Yuseong-gu, Daejeon, Republic of Korea hyunki@kaist.ac.kr I. INTRODUCTION Todays, mental diseases such as Alzheimer s disease (AD), depression, schizophrenia, and epilepsy, etc. have become serious social issues. For example, as shown in the Fig. 1, about 10-20% of seniors are suffered from those mental diseases, and the number of AD patients are rapidly growing. Techniques using electroencephalogram (EEG) have emerged to diagnose mental health and treat mental disease. In this context, several EEG processors have been proposed for continuous mental health monitoring systems [2, 3]. However, most of them used only power spectral analysis or nonlinear analysis. Both of those methods discard the inter-channel information, resulting in low diagnosis accuracy about 90%. To increase the diagnosis accuracy over 95%, connectome algorithms which use all of the amplitude, phase, and inter-channel information were proposed [4, 5]. Connectome represents the neural connections in the human brain, and synchronization likelihood (SL) is one of the most widely used connectome features. SL is effective to diagnose mental health, such as AD, depression, autism, and attention-deficit hyperactivity disorder (ADHD) [6]. Consequently, the EEG processor for mental health monitoring system should include the SL calculation. There is a critical problem to realize the SL calculation in continuous mental health monitoring system. As shown in Fig. 2, it requires much heavier computational cost leading to larger memory size and higher power consumption than previous methods. To solve this problem, some technical blocks are proposed; reconstruction optimizer (ReOpt) block, synchronization likelihood extractor (SLE) block with sparse matrix

2 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, Fig. 1. Motivation of the mental health monitoring system. Fig. 3. Headgear-type wearable system implementation of EEG-connectome processor. Fig. 2. Pros and cons of proposed connectome approach versus traditional methods. inscription (SMI) scheme, small word feature extractor (SWFE) with a look-up-table (LUT), and radial basis function (RBF) kernel-based support vector machine (SVM) with LUTs. In this paper, an EEG-connectome processor for a mental health monitoring system is proposed. Fig. 3 shows the headgear-type wearable system for the EEGconnectome processor, which is a modification of a previous work [3]. Under the headgear, there are 19channel electrodes to measure EEG signals. The EEGconnectome processor is connected to all of those 19 electrodes, which is able to determine the mental state with 95% of diagnosis accuracy from gathered 19channel EEG signals. The rest of this paper is organized as follows. Section II describes the top architecture of the proposed processor, and the details of each key building block. Section III shows the implementation results, including the chip evaluation and the experimental EEG verification of patients with severe AD versus controls case. Finally, the conclusion is presented in Section IV. Fig. 4. Top architecture. II. ARCHITECTURE Fig. 4 shows the top architecture of the proposed EEGconnectome processor. It consists of 4 main blocks; 1) ReOpt to find the optimal parameters for SL calculation, 2) SLE to compute SLs, 3) SWFE to convert SLs into smaller size information, and 4) RBF kernel-based SVM. 1. Accuracy-improving ReOpt Before computing SLs, EEG signals should be converted into reconstructed vectors as in Eq. (1), where y: reconstructed vector, x: value of original EEG signal at time t. There are two parameters for EEG reconstruction, named the delay τ, and the embedding dimension d [7]. y(t) = [x(t), x(t+τ), x(t+2τ),, x(t+(d-1)τ)] (1)

3 438 HYUNKI KIM et al : A 95% ACCURATE EEG-CONNECTOME PROCESSOR FOR A MENTAL HEALTH MONITORING SYSTEM Fig. 5. ReOpt block diagram, measured results of diagnosis accuracy. It is known that improper delay degrades the accuracy, and improper embedding dimension degrades both of the accuracy and the computational cost. However, previous works did not compensate for these parameters, but merely fix them because of additional computational cost [2]. In the proposed processor, the ReOpt works to find the optimal delay and the optimal dimension. This block results in 5% improvement in accuracy, and averagely 50% reduction of required operation. Fig. 5 shows the block diagram of the ReOpt. The optimal delay is determined by the autocorrelation method, which is one of the most widely used ones [7]. The autocorrelation is calculated until it reaches the first concave upward curve, and that inflection point is determined as the optimal delay. To check whether it is the inflection point or not, last five autocorrelation values are stored in registers and they are transferred into a comparator. To determine the optimal dimension with a small computational cost, the true nearest neighbor method is adopted [8]. Based on the brutal method, the ratio of true nearest neighbors is calculated and the Fig. 6. SLE block diagram, SMI scheme. optimal dimension is selected if this ratio goes near to 100%. During the calculation, we use 1-norm distance instead of 2-norm distance to remove the multiplication operation. Fig. 5 presents the measurement results of the ReOpt and the corresponding diagnosis accuracy. The points of highest accuracy correspond to the optimal delay and the optimal dimension. Moreover, optimal dimension makes it possible to remove the redundant calculation since the computational cost in SLE is proportional to the dimension value. The number of operations reduces by 50%, from to in average. 2. Memory-efficient SLE with SMI Scheme Computing SLs is composed of 1) calculating the distance between reconstructed vectors from 19-channel EEG signals, 2) finding epsilon distance (or cutoff distance), and 3) calculating Heaviside function [5]. During this process, a lot of inter-channel distances are required, so the large memory size is required compared to traditional power spectral method. In order to reduce the required memory size, the SMI which stores position data instead of distance data is proposed. Fig. 6 shows the block diagram of the SLE. Similar to ReOpt block, 1-norm distance is used rather than 2- norm one to reduce the computational cost. After calculating the distance, the SMI block in each channel computes both of the epsilon distance and corresponding

4 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, Heaviside function for each channel. Using those information, the SLE gains 171 SL values. Fig. 6 shows the detailed SMI scheme in the SLE. Among the reconstructed EEG data in one window, averagely 94% of the values of Heaviside function are equal to 0, while only 6% of those are equal to 1. Thus, to reduce memory size, the SMI stores only the position information whose Heaviside function results are 1, instead of saving all the distance information. Thanks to this distance-to-position conversion, the required memory decreases to 1/16. Moreover, there is an additional memory reduction; the number of bits for one datum decreases to 2/3, because the distance is of 12-bit and the position is of 8-bit. As a result, the proposed SMI scheme reduces the required memory size to 1/24, form 7.3 KB to 0.3 KB. Fig. 7. SWFE and RBF kernel-based SVM block diagram, LUT implementation. 3. Computational-cost-reduced SWFE and RBF kernel-based SVM with LUTs The RBF kernel-based SVM shows higher accuracy than linear classifiers, but it requires a large amount of computational cost due to the big size of input dimension and the difficulty for RBF calculation. To reduce the input dimension of the SVM, the SWFE converts 171 SLs into 6 small world features; 3 of them are named clustering coefficients and other 3 are named the shortest path lengths [4, 5]. For the calculation of SWFE and RBF, LUTs are implemented to replace the complicated floating-point operation. Fig. 7 shows the block diagram of the SWFE and the RBF-based SVM. To compute the shortest path length, floating-point operations for inverse are needed. Thus, an LUT is implemented to replace the floatingpoint inverse operation. The RBF also uses an LUT instead of the complicated floating-point exponential operation for the same reason. Thanks to those LUTs, the required computational cost decreases by 54%, to Fig. 7 shows the details of the LUT architecture for RBF calculation. As shown in the left of Fig. 7, the LUT has 7-bit resolution where the accuracy becomes saturated, over 97%. For the learning with various parameters, different kinds of RBFs with their own standard deviation are needed. This processor adopts 8 kinds of LUTs with different standard deviations, and the Fig. 8. Timing diagram. table in Fig. 4 shows an example of the LUT for the RBF where the standard deviation is 40,000. To reduce the number of comparators, as shown in the right of Fig. 4, the RBF is calculated from the MSB to the LSB with the sequential fetching with only one 18-bit comparator. Since the RBF has 7-bit resolution, the RBF is calculated in 7 cycles for this scheme. III. IMPLEMENTATION RESULTS 1. Chip Evaluation Fig. 8 shows the timing diagram of the whole blocks. Thanks to the pipelined structure, each block is able to operate concurrently. ReOpt consumes seconds, but it does not have to be always turned on since ReOpt only compensates the reconstruction parameters. Hence, ReOpt operates once per every 5-10 minutes. SLE consumes about 0.6 seconds; 0.5 seconds for SMI scheme and 0.1 seconds for calculating SLs, and it is the net latency of the whole system. SWFE and RBF kernel-

5 440 HYUNKI KIM et al : A 95% ACCURATE EEG-CONNECTOME PROCESSOR FOR A MENTAL HEALTH MONITORING SYSTEM Fig. 10. SL results. Fig. 9. Chip photograph and summary. Table 1. Comparison table based SVM operate in almost real-time. Fig. 9 shows the chip photograph and summary. It Fig. 11. Diagnosis accuracy results accuracy versus SVM kernel, overall diagnosis accuracy improvement. occupies 3.8 mm2 in 0.18μm CMOS technology and 2. Experimental Results consumes 1.71 mw at 1.8 V and 20 MHz clock frequency. The window size is set to be 256 to keep the 1-second EEG signals whose sampling rate is usually 256, and the net latency is 0.6 seconds. Table 1 shows the performance comparison. Previous works do not consider the inter-channel information and used only power spectrum or nonlinear approach, resulting in relatively low accuracy although their applications such as stress treatment and seizure detection are not complicated ones. On the other hand, the proposed work achieved 95% of diagnosis accuracy for complicated mental diseases such as AD by adopting connectome approach. Moreover, thanks to ReOpt, SMI, and LUT schemes, the proposed work is able to reduce the computational cost and memory size, resulting in the better energy FOM than previous works. To confirm the proposed work, analysis with real measured EEG data from 44 subjects is progressed. 22 of them were controls and 22 were severe AD patients. EEGs are measured 10 times for each subject, respectively. Hence, there are totally 440 measured data. Left two graphs in the Fig. 10 shows the SL results of controls versus AD patients. The right upper graph is the difference of the controls and patients, describing only the edges whose difference values exceed threshold. The right lower graph is the difference of the controls and patients in [5]. According to the similarity of those two graphs, the result of the proposed work is consistent with the previous algorithm. The diagnosis accuracy is 95%, with 96.8% of sensitivity and 93.2% of specificity. Fig. 11 shows the

6 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, diagnosis accuracy of various SVM kernels. The proposed work adopts RBF kernel because it shows the highest accuracy. RBF kernel usually consumes more computational costs than polynomial ones, but this is negligible because of LUT. Fig. 11 shows the overall diagnosis accuracy improvement for the proposed work. Compared to the conventional method such as power spectral analysis, the proposed work shows 6%p improvement of diagnosis accuracy by adopting connectome approach. Although SWFE reduces the size of input information of the classifier, it preserves the diagnosis accuracy as 95%. IV. CONCLUSIONS The proposed EEG-connectome processor for mental health monitoring system is successfully implemented in 0.18μm CMOS technology. To improve the diagnosis accuracy, the proposed processor adopts SLE which calculates connectome and ReOpt which gives the optimized parameters for connectome. Thanks to those blocks, the diagnosis accuracy increases by 6%p, from 89% to 95%. As the connectome approach requires more memory and computational cost than conventional methods, the memory reduction and computational cost reduction schemes are also adopted. For memory reduction, SLE contains SMI scheme which makes the memory size to be 1/24, and SWFE decreases the feature size to be 1/29. For computational cost reduction, LUTs substitute the floating-point operation resulting in decrease of the computational cost by 54%, and ReOpt averagely halves the computational cost for connectome. Consequently, although it deals with more complicated mental diseases, the proposed processor achieves 95% of diagnosis accuracy with lower energy FOM and shorter latency than previous works. REFERENCES [1] H. Kim, K. Song, T. Roh, and H. J. Yoo, A 95% accurate EEG-connectome processor for a mental health monitoring system, Asian Solid-State Circuits Conference (A-SSCC), Nov [2] T. Roh, S. Hong, H. Cho, and H. J. Yoo, A μw nonlinear HRV-EEG chaos processor with body channel communication interface for mental health monitoring, ISSCC Dig. Tech. Papers, Feb. 2012, pp [3] T. Roh, K. Song, H. Cho, D. Shin, U. Ha, K. Lee, and H. J. Yoo, A 2.14mW EEG neuro-feedback processor with transcranial electrical stimulation for mental-health management, ISSCC Dig. Tech. Papers, Feb. 2014, pp [4] M. Ahmadlou, H. Adeli, and A. Adeli, Graph theoretical analysis of organization of functional brain networks in ADHD, Clinical EEG and Neuroscience, vol. 43, no. 1, pp. 5-13, [5] C. J. Stam, B. F. Jones, G. Nolte, M. Breakspear, and Ph. Scheltens, Small-world networks and functional connectivity in Alzheimer s disease, Cerebral Cortex, vol. 17, no. 1, pp , [6] E. T. Bullmore, and D. S. Bassett, Brain graphs: graphical models of the human brain connectome, Annual review of clinical psychology, vol. 7, pp , [7] C. J. Stam, Nonlinear dynamical analysis of EEG and MEG: review of an emergning field, Clinical Neurophysiology, vol. 116, no. 10, pp , [8] M. Kennel, R. Brown, and H. D. Abarbanel, Determining embedding dimension for phase-space reconstruction using a geometrical construction, Physical review A, vol. 45, no. 6, p. 3404, [9] W. Chen, et al., A fully integrated 8-channel closed-loop neural prosthetic SoC for real-time epileptic seizure control, ISSCC Dig. Tech. Papers, Feb. 2013, pp [10] M. A. B. Altif, C. Zhang, and J. Yoo, A 16-ch patient-specific seizure onset and termination detection SoC with machine-learning and voltagemode transcranial stimulation, ISSCC Dig. Tech. Papers, Feb. 2015, pp Hyunki Kim received the B.S. degree in mathematical sciences and the M.S. degree in Electrical Engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2010 and 2015, respectively. Currently, he is working toward the Ph.D. degree at KAIST. His research interests include biomedical SoC design, especially focused on low-power signal processors.

7 442 HYUNKI KIM et al : A 95% ACCURATE EEG-CONNECTOME PROCESSOR FOR A MENTAL HEALTH MONITORING SYSTEM Kiseok Song received the B.S., M.S., and Ph.D. degrees in Department of Electrical Engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2009, 2011, and 2015, respectively. He is currently working as a CTO of K-Healthwear R&D Center, which is mobile healthcare solution company. His current research interests include bio-medical SoC design especially focused on mobile (wearable) healthcare application. He is also interested in body channel analysis for low power wireless-body-area-network (WBAN). As a chief researcher at the Semiconductor System Laboratory in KAIST, he developed multi-modal (electromyograph and temperature) feedback electro-acupuncture stimulator SoC and bio-feedback (load impedance, tissue impedance, and skin temperature) iontophoresis controller SoC. Mr. Song received the Marconi Society s Paul-Balan Young Scholar Award for his contribution to novel bio-medical communications in Taehwan Roh received the B.S., M.S., and Ph.D. degrees in Department of Electrical Engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2009 and 2011, and 2014, respectively. He has researched a biomedical processor for mental health monitoring and management. He has worked for KHealthwear to commercialize his researches since His research interests include a low-power biomedical SoC for wearable healthcare device. He is also interested in wearable healthcare systems for cardiac and mental monitoring. Hoi-Jun Yoo (M 95 SM 04 F 08) graduated from the Electronic Department of Seoul National University, Seoul, Korea, in 1983 and received the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, in 1985 and 1988, respectively. Since 1998, he has been the faculty of the Department of Electrical Engineering at KAIST and now is a full professor. From 2001 to 2005, he was the director of Korean System Integration and IP Authoring Research Center (SIPAC). From 2003 to 2005, he was the full time Advisor to Minister of Korea Ministry of Information and Communication and National Project Manager for SoC and Computer. In 2007, he founded System Design Innovation & Application Research Center (SDIA) at KAIST. Since 2010, he has served the general chair of Korean Institute of Next Generation Computing. His current interests are computer vision SoC, body area networks, biomedical devices and circuits. He is a co-author of DRAM Design (Korea: Hongrung, 1996), High Performance DRAM (Korea: Sigma, 1999), Future Memory: FRAM (Korea: Sigma, 2000), Networks on Chips (Morgan Kaufmann, 2006), Low-Power NoC for High-Performance SoC Design (CRC Press, 2008), Circuits at the Nanoscale (CRC Press, 2009), Embedded Memories for Nano-Scale VLSIs (Springer, 2009), Mobile 3D Graphics SoC from Algorithm to Chip (Wiley, 2010), Bio-Medical CMOS ICs (Springer, 2011), Embedded Systems (Wiley, 2012), and Ultra-Low-Power Short-Range Radios (Springer, 2015). Dr. Yoo received the Electronic Industrial Association of Korea Award for his contribution to DRAM technology in 1994, Hynix Development Award in 1995, the Korea Semiconductor Industry Association Award in 2002, Best Research of KAIST Award in 2007, Scientist/Engineer of this month Award from Ministry of Education, Science and Technology of Korea in 2010, Best Scholarship Awards of KAIST in 2011, and Order of Service Merit from Ministry of Public Administration and Security of Korea in 2011 and has been co-recipients of ASP-DAC Design Award 2001, Outstanding Design Awards of 2005, 2006, 2007, 2010, 2011, 2014 A-SSCC, Student Design Contest Award of 2007, 2008, 2010, 2011 DAC/ISSCC. He has served as a member of the executive committee of ISSCC, Symposium on VLSI, and A-SSCC and the TPC chair of the A-SSCC 2008 and ISWC 2010, IEEE Fellow, IEEE Distinguished Lecturer ( 10-11), Far East Chair of ISSCC ( 11-12), Technology Direction Sub-Committee Chair of ISSCC ( 13), TPC Vice Chair of ISSCC ( 14), and TPC Chair of ISSCC ( 15).

Efficient Feature Extraction and Classification Methods in Neural Interfaces

Efficient Feature Extraction and Classification Methods in Neural Interfaces Using a simple decision tree model, we introduce efficient hardware architectures to predict abnormal neurological states in various disorders. Efficient Feature Extraction and Classification Methods in

More information

A micropower support vector machine based seizure detection architecture for embedded medical devices

A micropower support vector machine based seizure detection architecture for embedded medical devices A micropower support vector machine based seizure detection architecture for embedded medical devices The MIT Faculty has made this article openly available. Please share how this access benefits you.

More information

Minimum Feature Selection for Epileptic Seizure Classification using Wavelet-based Feature Extraction and a Fuzzy Neural Network

Minimum Feature Selection for Epileptic Seizure Classification using Wavelet-based Feature Extraction and a Fuzzy Neural Network Appl. Math. Inf. Sci. 8, No. 3, 129-1300 (201) 129 Applied Mathematics & Information Sciences An International Journal http://dx.doi.org/10.1278/amis/0803 Minimum Feature Selection for Epileptic Seizure

More information

Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs

Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs 22nd Asia and South Pacific Design Automation Conference (ASP-DAC 2017) Special Session 4S: Invited Talk Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs Chung-Yu

More information

Efficient Feature Extraction and Classification Methods in Neural Interfaces

Efficient Feature Extraction and Classification Methods in Neural Interfaces Efficient Feature Extraction and Classification Methods in Neural Interfaces Azita Emami Professor of Electrical Engineering and Medical Engineering Next Generation Therapeutic Devices 2 Where We Started:

More information

DETECTION OF EPILEPTIC SEIZURE SIGNALS USING FUZZY RULES BASED ON SELECTED FEATURES

DETECTION OF EPILEPTIC SEIZURE SIGNALS USING FUZZY RULES BASED ON SELECTED FEATURES e-issn 2455 1392 Volume 3 Issue 1, January 2017 pp. 22 28 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com DETECTION OF EPILEPTIC SEIZURE SIGNALS USING FUZZY RULES BASED ON SELECTED FEATURES

More information

Small-world networks and epilepsy: Graph theoretical analysis of intracerebrally recorded mesial temporal lobe seizures.

Small-world networks and epilepsy: Graph theoretical analysis of intracerebrally recorded mesial temporal lobe seizures. Small-world networks and epilepsy: Graph theoretical analysis of intracerebrally recorded mesial temporal lobe seizures S.C. Ponten, F. Bartolomei, o C.J. Stam Presented by Miki Rubinstein Epilepsy Abnormal

More information

A Brain Computer Interface System For Auto Piloting Wheelchair

A Brain Computer Interface System For Auto Piloting Wheelchair A Brain Computer Interface System For Auto Piloting Wheelchair Reshmi G, N. Kumaravel & M. Sasikala Centre for Medical Electronics, Dept. of Electronics and Communication Engineering, College of Engineering,

More information

An Edge-Device for Accurate Seizure Detection in the IoT

An Edge-Device for Accurate Seizure Detection in the IoT An Edge-Device for Accurate Seizure Detection in the IoT M. A. Sayeed 1, S. P. Mohanty 2, E. Kougianos 3, and H. Zaveri 4 University of North Texas, Denton, TX, USA. 1,2,3 Yale University, New Haven, CT,

More information

MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility

MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility 1 MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility Neung-Hyung Lee and Saewoong Bahk School of Electrical Engineering & Computer Science, Seoul National University, Seoul Korea

More information

EPILEPTIC SEIZURE DETECTION USING WAVELET TRANSFORM

EPILEPTIC SEIZURE DETECTION USING WAVELET TRANSFORM EPILEPTIC SEIZURE DETECTION USING WAVELET TRANSFORM Sneha R. Rathod 1, Chaitra B. 2, Dr. H.P.Rajani 3, Dr. Rajashri khanai 4 1 MTech VLSI Design and Embedded systems,dept of ECE, KLE Dr.MSSCET, Belagavi,

More information

Texture Analysis of Supraspinatus Ultrasound Image for Computer Aided Diagnostic System

Texture Analysis of Supraspinatus Ultrasound Image for Computer Aided Diagnostic System Original Article Healthc Inform Res. 2016 October;22(4):299-304. pissn 2093-3681 eissn 2093-369X Texture Analysis of Supraspinatus Ultrasound Image for Computer Aided Diagnostic System Byung Eun Park,

More information

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research Volume 2, Issue 6, June-2011 1 Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar Abstract In balancing

More information

PCA Enhanced Kalman Filter for ECG Denoising

PCA Enhanced Kalman Filter for ECG Denoising IOSR Journal of Electronics & Communication Engineering (IOSR-JECE) ISSN(e) : 2278-1684 ISSN(p) : 2320-334X, PP 06-13 www.iosrjournals.org PCA Enhanced Kalman Filter for ECG Denoising Febina Ikbal 1, Prof.M.Mathurakani

More information

Comparison of ANN and Fuzzy logic based Bradycardia and Tachycardia Arrhythmia detection using ECG signal

Comparison of ANN and Fuzzy logic based Bradycardia and Tachycardia Arrhythmia detection using ECG signal Comparison of ANN and Fuzzy logic based Bradycardia and Tachycardia Arrhythmia detection using ECG signal 1 Simranjeet Kaur, 2 Navneet Kaur Panag 1 Student, 2 Assistant Professor 1 Electrical Engineering

More information

A low supply voltage and wide-tuned CMOS Colpitts VCO

A low supply voltage and wide-tuned CMOS Colpitts VCO This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* A low supply voltage and wide-tuned CMOS Colpitts

More information

POWER EFFICIENT PROCESSOR FOR PREDICTING VENTRICULAR ARRHYTHMIA BASED ON ECG

POWER EFFICIENT PROCESSOR FOR PREDICTING VENTRICULAR ARRHYTHMIA BASED ON ECG POWER EFFICIENT PROCESSOR FOR PREDICTING VENTRICULAR ARRHYTHMIA BASED ON ECG Anusha P 1, Madhuvanthi K 2, Aravind A.R 3 1 Department of Electronics and Communication Engineering, Prince Shri Venkateshwara

More information

Research & Development of Rehabilitation Technology in Singapore

Research & Development of Rehabilitation Technology in Singapore Research & Development of Rehabilitation Technology in Singapore ANG Wei Tech Associate Professor School of Mechanical & Aerospace Engineering wtang@ntu.edu.sg Assistive Technology Technologists / Engineers

More information

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar ULTRA-LOW-POWER LOW BIO-INSPIRED INSPIRED AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar Research Lab of Electronics Massachusetts Institute of Technology Electrical Engineering and Computer Science FOE Talk

More information

Applying Data Mining for Epileptic Seizure Detection

Applying Data Mining for Epileptic Seizure Detection Applying Data Mining for Epileptic Seizure Detection Ying-Fang Lai 1 and Hsiu-Sen Chiang 2* 1 Department of Industrial Education, National Taiwan Normal University 162, Heping East Road Sec 1, Taipei,

More information

EEG signal classification using Bayes and Naïve Bayes Classifiers and extracted features of Continuous Wavelet Transform

EEG signal classification using Bayes and Naïve Bayes Classifiers and extracted features of Continuous Wavelet Transform EEG signal classification using Bayes and Naïve Bayes Classifiers and extracted features of Continuous Wavelet Transform Reza Yaghoobi Karimoi*, Mohammad Ali Khalilzadeh, Ali Akbar Hossinezadeh, Azra Yaghoobi

More information

Classification of Epileptic Seizure Predictors in EEG

Classification of Epileptic Seizure Predictors in EEG Classification of Epileptic Seizure Predictors in EEG Problem: Epileptic seizures are still not fully understood in medicine. This is because there is a wide range of potential causes of epilepsy which

More information

ANALYSIS AND CLASSIFICATION OF EEG SIGNALS. A Dissertation Submitted by. Siuly. Doctor of Philosophy

ANALYSIS AND CLASSIFICATION OF EEG SIGNALS. A Dissertation Submitted by. Siuly. Doctor of Philosophy UNIVERSITY OF SOUTHERN QUEENSLAND, AUSTRALIA ANALYSIS AND CLASSIFICATION OF EEG SIGNALS A Dissertation Submitted by Siuly For the Award of Doctor of Philosophy July, 2012 Abstract Electroencephalography

More information

DISCRETE WAVELET PACKET TRANSFORM FOR ELECTROENCEPHALOGRAM- BASED EMOTION RECOGNITION IN THE VALENCE-AROUSAL SPACE

DISCRETE WAVELET PACKET TRANSFORM FOR ELECTROENCEPHALOGRAM- BASED EMOTION RECOGNITION IN THE VALENCE-AROUSAL SPACE DISCRETE WAVELET PACKET TRANSFORM FOR ELECTROENCEPHALOGRAM- BASED EMOTION RECOGNITION IN THE VALENCE-AROUSAL SPACE Farzana Kabir Ahmad*and Oyenuga Wasiu Olakunle Computational Intelligence Research Cluster,

More information

Development of an Epileptic Seizure Detection Application based on Parallel Computing

Development of an Epileptic Seizure Detection Application based on Parallel Computing Development of an Epileptic Seizure Detection Application based on Parallel Computing K. Sivasankari #1, Dr. K. Thanushkodi *2 #1 Department of ECE, Akshaya College of Engineering and Technology, Coimbatore

More information

EEG Features in Mental Tasks Recognition and Neurofeedback

EEG Features in Mental Tasks Recognition and Neurofeedback EEG Features in Mental Tasks Recognition and Neurofeedback Ph.D. Candidate: Wang Qiang Supervisor: Asst. Prof. Olga Sourina Co-Supervisor: Assoc. Prof. Vladimir V. Kulish Division of Information Engineering

More information

arxiv: v1 [cs.lg] 4 Feb 2019

arxiv: v1 [cs.lg] 4 Feb 2019 Machine Learning for Seizure Type Classification: Setting the benchmark Subhrajit Roy [000 0002 6072 5500], Umar Asif [0000 0001 5209 7084], Jianbin Tang [0000 0001 5440 0796], and Stefan Harrer [0000

More information

Mental State Recognition by using Brain Waves

Mental State Recognition by using Brain Waves Indian Journal of Science and Technology, Vol 9(33), DOI: 10.17485/ijst/2016/v9i33/99622, September 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Mental State Recognition by using Brain Waves

More information

Motor Control in Biomechanics In Honor of Prof. T. Kiryu s retirement from rich academic career at Niigata University

Motor Control in Biomechanics In Honor of Prof. T. Kiryu s retirement from rich academic career at Niigata University ASIAN SYMPOSIUM ON Motor Control in Biomechanics In Honor of Prof. T. Kiryu s retirement from rich academic career at Niigata University APRIL 20, 2018 TOKYO INSTITUTE OF TECHNOLOGY Invited Speakers Dr.

More information

Restoring Communication and Mobility

Restoring Communication and Mobility Restoring Communication and Mobility What are they? Artificial devices connected to the body that substitute, restore or supplement a sensory, cognitive, or motive function of the nervous system that has

More information

Seizure Prediction Through Clustering and Temporal Analysis of Micro Electrocorticographic Data

Seizure Prediction Through Clustering and Temporal Analysis of Micro Electrocorticographic Data Seizure Prediction Through Clustering and Temporal Analysis of Micro Electrocorticographic Data Yilin Song 1, Jonathan Viventi 2, and Yao Wang 1 1 Department of Electrical and Computer Engineering, New

More information

THE data used in this project is provided. SEIZURE forecasting systems hold promise. Seizure Prediction from Intracranial EEG Recordings

THE data used in this project is provided. SEIZURE forecasting systems hold promise. Seizure Prediction from Intracranial EEG Recordings 1 Seizure Prediction from Intracranial EEG Recordings Alex Fu, Spencer Gibbs, and Yuqi Liu 1 INTRODUCTION SEIZURE forecasting systems hold promise for improving the quality of life for patients with epilepsy.

More information

Introduction to Computational Neuroscience

Introduction to Computational Neuroscience Introduction to Computational Neuroscience Lecture 5: Data analysis II Lesson Title 1 Introduction 2 Structure and Function of the NS 3 Windows to the Brain 4 Data analysis 5 Data analysis II 6 Single

More information

Classification of EEG signals in an Object Recognition task

Classification of EEG signals in an Object Recognition task Classification of EEG signals in an Object Recognition task Iacob D. Rus, Paul Marc, Mihaela Dinsoreanu, Rodica Potolea Technical University of Cluj-Napoca Cluj-Napoca, Romania 1 rus_iacob23@yahoo.com,

More information

Empirical Mode Decomposition based Feature Extraction Method for the Classification of EEG Signal

Empirical Mode Decomposition based Feature Extraction Method for the Classification of EEG Signal Empirical Mode Decomposition based Feature Extraction Method for the Classification of EEG Signal Anant kulkarni MTech Communication Engineering Vellore Institute of Technology Chennai, India anant8778@gmail.com

More information

Examination of Multiple Spectral Exponents of Epileptic ECoG Signal

Examination of Multiple Spectral Exponents of Epileptic ECoG Signal Examination of Multiple Spectral Exponents of Epileptic ECoG Signal Suparerk Janjarasjitt Member, IAENG, and Kenneth A. Loparo Abstract In this paper, the wavelet-based fractal analysis is applied to analyze

More information

Novel single trial movement classification based on temporal dynamics of EEG

Novel single trial movement classification based on temporal dynamics of EEG Novel single trial movement classification based on temporal dynamics of EEG Conference or Workshop Item Accepted Version Wairagkar, M., Daly, I., Hayashi, Y. and Nasuto, S. (2014) Novel single trial movement

More information

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research, Volume 2, Issue 2, February-2011 1 Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar

More information

Tactile Internet and Edge Computing: Emerging Technologies for Mobile Health

Tactile Internet and Edge Computing: Emerging Technologies for Mobile Health Tactile Internet and Edge Computing: Emerging Technologies for Mobile Health Zaher Dawy, PhD Department of Electrical and Computer Engineering American University of Beirut http://www.aub.edu.lb/~zd03

More information

Quick detection of QRS complexes and R-waves using a wavelet transform and K-means clustering

Quick detection of QRS complexes and R-waves using a wavelet transform and K-means clustering Bio-Medical Materials and Engineering 26 (2015) S1059 S1065 DOI 10.3233/BME-151402 IOS Press S1059 Quick detection of QRS complexes and R-waves using a wavelet transform and K-means clustering Yong Xia

More information

Recognition of Sleep Dependent Memory Consolidation with Multi-modal Sensor Data

Recognition of Sleep Dependent Memory Consolidation with Multi-modal Sensor Data Recognition of Sleep Dependent Memory Consolidation with Multi-modal Sensor Data The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

Curriculum structure of B.Tech ECE Programme (III Semester onwards)

Curriculum structure of B.Tech ECE Programme (III Semester onwards) 1.Range for total credits in III, IV, V and VI semester is 22-28 2. A course on Management (PC), will be run in VII semester for four branches and the rest will have it in VIII semester 3. Course credit

More information

Network-based pattern recognition models for neuroimaging

Network-based pattern recognition models for neuroimaging Network-based pattern recognition models for neuroimaging Maria J. Rosa Centre for Neuroimaging Sciences, Institute of Psychiatry King s College London, UK Outline Introduction Pattern recognition Network-based

More information

PHASE-LOCKED loops (PLLs) are one of the key building

PHASE-LOCKED loops (PLLs) are one of the key building IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 4, APRIL 2007 775 An On-chip Calibration Technique for Reducing Supply Voltage Sensitivity in Ring Oscillators Ting Wu, Member, IEEE, Kartikeya Mayaram,

More information

MORPHOLOGICAL CHARACTERIZATION OF ECG SIGNAL ABNORMALITIES: A NEW APPROACH

MORPHOLOGICAL CHARACTERIZATION OF ECG SIGNAL ABNORMALITIES: A NEW APPROACH MORPHOLOGICAL CHARACTERIZATION OF ECG SIGNAL ABNORMALITIES: A NEW APPROACH Mohamed O. Ahmed Omar 1,3, Nahed H. Solouma 2, Yasser M. Kadah 3 1 Misr University for Science and Technology, 6 th October City,

More information

Brain Tumor segmentation and classification using Fcm and support vector machine

Brain Tumor segmentation and classification using Fcm and support vector machine Brain Tumor segmentation and classification using Fcm and support vector machine Gaurav Gupta 1, Vinay singh 2 1 PG student,m.tech Electronics and Communication,Department of Electronics, Galgotia College

More information

Vital Responder: Real-time Health Monitoring of First- Responders

Vital Responder: Real-time Health Monitoring of First- Responders Vital Responder: Real-time Health Monitoring of First- Responders Ye Can 1,2 Advisors: Miguel Tavares Coimbra 2, Vijayakumar Bhagavatula 1 1 Department of Electrical & Computer Engineering, Carnegie Mellon

More information

Hybrid EEG-HEG based Neurofeedback Device

Hybrid EEG-HEG based Neurofeedback Device APSIPA ASC 2011 Xi an Hybrid EEG-HEG based Neurofeedback Device Supassorn Rodrak *, Supatcha Namtong, and Yodchanan Wongsawat ** Department of Biomedical Engineering, Faculty of Engineering, Mahidol University,

More information

The impact of numeration on visual attention during a psychophysical task; An ERP study

The impact of numeration on visual attention during a psychophysical task; An ERP study The impact of numeration on visual attention during a psychophysical task; An ERP study Armita Faghani Jadidi, Raheleh Davoodi, Mohammad Hassan Moradi Department of Biomedical Engineering Amirkabir University

More information

Classification of ECG Data for Predictive Analysis to Assist in Medical Decisions.

Classification of ECG Data for Predictive Analysis to Assist in Medical Decisions. 48 IJCSNS International Journal of Computer Science and Network Security, VOL.15 No.10, October 2015 Classification of ECG Data for Predictive Analysis to Assist in Medical Decisions. A. R. Chitupe S.

More information

Heterogeneous Data Mining for Brain Disorder Identification. Bokai Cao 04/07/2015

Heterogeneous Data Mining for Brain Disorder Identification. Bokai Cao 04/07/2015 Heterogeneous Data Mining for Brain Disorder Identification Bokai Cao 04/07/2015 Outline Introduction Tensor Imaging Analysis Brain Network Analysis Davidson et al. Network discovery via constrained tensor

More information

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a 10.1149/05201.1079ecst The Electrochemical Society Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability Masanori Hashimoto a a Department of Information Systems Engineering,

More information

Automatic Detection of Epileptic Seizures in EEG Using Machine Learning Methods

Automatic Detection of Epileptic Seizures in EEG Using Machine Learning Methods Automatic Detection of Epileptic Seizures in EEG Using Machine Learning Methods Ying-Fang Lai 1 and Hsiu-Sen Chiang 2* 1 Department of Industrial Education, National Taiwan Normal University 162, Heping

More information

Automated Assessment of Diabetic Retinal Image Quality Based on Blood Vessel Detection

Automated Assessment of Diabetic Retinal Image Quality Based on Blood Vessel Detection Y.-H. Wen, A. Bainbridge-Smith, A. B. Morris, Automated Assessment of Diabetic Retinal Image Quality Based on Blood Vessel Detection, Proceedings of Image and Vision Computing New Zealand 2007, pp. 132

More information

Design of a Calorie Tracker Utilizing Heart Rate Variability Obtained by a Nanofiber Technique-based Wellness Wear System

Design of a Calorie Tracker Utilizing Heart Rate Variability Obtained by a Nanofiber Technique-based Wellness Wear System Applied Mathematics & Information Sciences An International Journal 2011 NSP 5 (2) (2011), 171S-177S Design of a Calorie Tracker Utilizing Heart Rate Variability Obtained by a Nanofiber Technique-based

More information

Data-Driven Approaches for Computation in Intelligent Biomedical Devices: A Case Study of EEG Monitoring for Chronic Seizure Detection

Data-Driven Approaches for Computation in Intelligent Biomedical Devices: A Case Study of EEG Monitoring for Chronic Seizure Detection J. Low Power Electron. Appl. 2011, 1, 150-174; doi:10.3390/jlpea1010150 OPEN ACCESS Review Journal of Low Power Electronics and Applications ISSN 2079-9268 www.mdpi.com/journal/jlpea Data-Driven Approaches

More information

EECS 433 Statistical Pattern Recognition

EECS 433 Statistical Pattern Recognition EECS 433 Statistical Pattern Recognition Ying Wu Electrical Engineering and Computer Science Northwestern University Evanston, IL 60208 http://www.eecs.northwestern.edu/~yingwu 1 / 19 Outline What is Pattern

More information

Graph Theory. Steffie Tomson UCLA NITP 2013

Graph Theory. Steffie Tomson UCLA NITP 2013 Graph Theory Steffie Tomson UCLA NITP 2013 What is graph theory? Mathematical descriptions of relationships between regions Node Opsahl et al. 2010 Why use graphs? Nodal Measures: Participation Coefficient

More information

BC310. Body Composition Analyzer. Medical Diagnostic Device

BC310.  Body Composition Analyzer. Medical Diagnostic Device Medical Diagnostic Device BC310 Body Composition Analyzer The BC310 is a multi-frequency, whole body and segmental Body Composition Analyzer that utilizes innovative BIA technology to ensure accurate and

More information

Removing ECG Artifact from the Surface EMG Signal Using Adaptive Subtraction Technique

Removing ECG Artifact from the Surface EMG Signal Using Adaptive Subtraction Technique www.jbpe.org Removing ECG Artifact from the Surface EMG Signal Using Adaptive Subtraction Technique Original 1 Department of Biomedical Engineering, Amirkabir University of technology, Tehran, Iran Abbaspour

More information

Assessing Functional Neural Connectivity as an Indicator of Cognitive Performance *

Assessing Functional Neural Connectivity as an Indicator of Cognitive Performance * Assessing Functional Neural Connectivity as an Indicator of Cognitive Performance * Brian S. Helfer 1, James R. Williamson 1, Benjamin A. Miller 1, Joseph Perricone 1, Thomas F. Quatieri 1 MIT Lincoln

More information

Analysis of EEG Signal for the Detection of Brain Abnormalities

Analysis of EEG Signal for the Detection of Brain Abnormalities Analysis of EEG Signal for the Detection of Brain Abnormalities M.Kalaivani PG Scholar Department of Computer Science and Engineering PG National Engineering College Kovilpatti, Tamilnadu V.Kalaivani,

More information

Classification of Epileptic EEG Using Wavelet Transform & Artificial Neural Network

Classification of Epileptic EEG Using Wavelet Transform & Artificial Neural Network Volume 4, No. 9, July-August 213 International Journal of Advanced Research in Computer Science RESEARCH PAPER Available Online at www.ijarcs.info ISSN No. 976-5697 Classification of Epileptic EEG Using

More information

CHAPTER 6 INTERFERENCE CANCELLATION IN EEG SIGNAL

CHAPTER 6 INTERFERENCE CANCELLATION IN EEG SIGNAL 116 CHAPTER 6 INTERFERENCE CANCELLATION IN EEG SIGNAL 6.1 INTRODUCTION Electrical impulses generated by nerve firings in the brain pass through the head and represent the electroencephalogram (EEG). Electrical

More information

A Judgment of Intoxication using Hybrid Analysis with Pitch Contour Compare (HAPCC) in Speech Signal Processing

A Judgment of Intoxication using Hybrid Analysis with Pitch Contour Compare (HAPCC) in Speech Signal Processing A Judgment of Intoxication using Hybrid Analysis with Pitch Contour Compare (HAPCC) in Speech Signal Processing Seong-Geon Bae #1 1 Professor, School of Software Application, Kangnam University, Gyunggido,

More information

II. NORMAL ECG WAVEFORM

II. NORMAL ECG WAVEFORM American Journal of Engineering Research (AJER) e-issn: 2320-0847 p-issn : 2320-0936 Volume-5, Issue-5, pp-155-161 www.ajer.org Research Paper Open Access Abnormality Detection in ECG Signal Using Wavelets

More information

Patient-Specific Epileptic Seizure Onset Detection Algorithm Based on Spectral Features and IPSONN Classifier

Patient-Specific Epileptic Seizure Onset Detection Algorithm Based on Spectral Features and IPSONN Classifier 203 International Conference on Communication Systems and Network Technologies Patient-Specific Epileptic Seizure Onset Detection Algorithm Based on Spectral Features and IPSONN Classifier Saadat Nasehi

More information

ERA: Architectures for Inference

ERA: Architectures for Inference ERA: Architectures for Inference Dan Hammerstrom Electrical And Computer Engineering 7/28/09 1 Intelligent Computing In spite of the transistor bounty of Moore s law, there is a large class of problems

More information

7.1 Grading Diabetic Retinopathy

7.1 Grading Diabetic Retinopathy Chapter 7 DIABETIC RETINOPATHYGRADING -------------------------------------------------------------------------------------------------------------------------------------- A consistent approach to the

More information

A New Approach on Digital Blood Pressure Measurement Method for u-healthcare Systems

A New Approach on Digital Blood Pressure Measurement Method for u-healthcare Systems Vol.7, No.1 (2015), pp.169-178 http://dx.doi.org/10.14257/ijbsbt.2015.7.1.17 A New Approach on Digital Blood Pressure Measurement Method for u-healthcare Systems Boyeon Kim 1, Wenhai Jin 2, Sung Hoon Woo

More information

UNIVERSITY of PENNSYLVANIA CIS 520: Machine Learning Final, Fall 2014

UNIVERSITY of PENNSYLVANIA CIS 520: Machine Learning Final, Fall 2014 UNIVERSITY of PENNSYLVANIA CIS 520: Machine Learning Final, Fall 2014 Exam policy: This exam allows two one-page, two-sided cheat sheets (i.e. 4 sides); No other materials. Time: 2 hours. Be sure to write

More information

Sign Language Interpretation Using Pseudo Glove

Sign Language Interpretation Using Pseudo Glove Sign Language Interpretation Using Pseudo Glove Mukul Singh Kushwah, Manish Sharma, Kunal Jain and Anish Chopra Abstract The research work presented in this paper explores the ways in which, people who

More information

EEG Analysis Using Neural Networks for Seizure Detection

EEG Analysis Using Neural Networks for Seizure Detection Proceedings of the 6th WSEAS International Conference on Signal Processing, Robotics and Automation, Corfu Island, Greece, February 16-19, 2007 121 EEG Analysis Using Neural Networks for Seizure Detection

More information

Detection of Glaucoma and Diabetic Retinopathy from Fundus Images by Bloodvessel Segmentation

Detection of Glaucoma and Diabetic Retinopathy from Fundus Images by Bloodvessel Segmentation International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 8958, Volume-5, Issue-5, June 2016 Detection of Glaucoma and Diabetic Retinopathy from Fundus Images by Bloodvessel Segmentation

More information

COGNITIVE NEUROSCIENCE BASED STRESS LEVEL MONITORING

COGNITIVE NEUROSCIENCE BASED STRESS LEVEL MONITORING Volume 119 No. 15 2018, 843-850 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ COGNITIVE NEUROSCIENCE BASED STRESS LEVEL MONITORING G. Saiprasanna kumar

More information

Mammogram Analysis: Tumor Classification

Mammogram Analysis: Tumor Classification Mammogram Analysis: Tumor Classification Term Project Report Geethapriya Raghavan geeragh@mail.utexas.edu EE 381K - Multidimensional Digital Signal Processing Spring 2005 Abstract Breast cancer is the

More information

Estimation of Systolic and Diastolic Pressure using the Pulse Transit Time

Estimation of Systolic and Diastolic Pressure using the Pulse Transit Time Estimation of Systolic and Diastolic Pressure using the Pulse Transit Time Soo-young Ye, Gi-Ryon Kim, Dong-Keun Jung, Seong-wan Baik, and Gye-rok Jeon Abstract In this paper, algorithm estimating the blood

More information

5G-Smart Diabetes: Toward Personalized Diabetes Diagnosis with Healthcare Big Data Clouds

5G-Smart Diabetes: Toward Personalized Diabetes Diagnosis with Healthcare Big Data Clouds A DVANCES IN NEXT GENERATION NETWORKING TECHNOLOGIES FOR SMART HEALTHCARE 5G-Smart Diabetes: Toward Personalized Diabetes Diagnosis with Healthcare Big Data Clouds Min Chen, Jun Yang, Jiehan Zhou, Yixue

More information

SUPPLEMENTARY INFORMATION. Table 1 Patient characteristics Preoperative. language testing

SUPPLEMENTARY INFORMATION. Table 1 Patient characteristics Preoperative. language testing Categorical Speech Representation in the Human Superior Temporal Gyrus Edward F. Chang, Jochem W. Rieger, Keith D. Johnson, Mitchel S. Berger, Nicholas M. Barbaro, Robert T. Knight SUPPLEMENTARY INFORMATION

More information

An Artificial Neural Network Architecture Based on Context Transformations in Cortical Minicolumns

An Artificial Neural Network Architecture Based on Context Transformations in Cortical Minicolumns An Artificial Neural Network Architecture Based on Context Transformations in Cortical Minicolumns 1. Introduction Vasily Morzhakov, Alexey Redozubov morzhakovva@gmail.com, galdrd@gmail.com Abstract Cortical

More information

Epileptic seizure detection using linear prediction filter

Epileptic seizure detection using linear prediction filter 11 th International conference on Sciences and Techniques of Automatic control & computer engineering December 19-1, 010, Monastir, Tunisia Epileptic seizure detection using linear prediction filter Introduction:

More information

Robust Detection of Atrial Fibrillation for a Long Term Telemonitoring System

Robust Detection of Atrial Fibrillation for a Long Term Telemonitoring System Robust Detection of Atrial Fibrillation for a Long Term Telemonitoring System B.T. Logan, J. Healey Cambridge Research Laboratory HP Laboratories Cambridge HPL-2005-183 October 14, 2005* telemonitoring,

More information

Human Machine Interface Using EOG Signal Analysis

Human Machine Interface Using EOG Signal Analysis Human Machine Interface Using EOG Signal Analysis Krishna Mehta 1, Piyush Patel 2 PG Student, Dept. of Biomedical, Government Engineering College, Gandhinagar, Gujarat, India 1 Assistant Professor, Dept.

More information

An IoT-based Drug Delivery System for Refractory Epilepsy

An IoT-based Drug Delivery System for Refractory Epilepsy An IoT-based Drug Delivery System for Refractory Epilepsy M. A. Sayeed 1, S. P. Mohanty 2, E. Kougianos 3, and H. Zaveri 4 University of North Texas, Denton, TX, USA. 1,2,3 Yale University, New Haven,

More information

Gender Discrimination Through Fingerprint- A Review

Gender Discrimination Through Fingerprint- A Review Gender Discrimination Through Fingerprint- A Review Navkamal kaur 1, Beant kaur 2 1 M.tech Student, Department of Electronics and Communication Engineering, Punjabi University, Patiala 2Assistant Professor,

More information

Convolutional Coding: Fundamentals and Applications. L. H. Charles Lee. Artech House Boston London

Convolutional Coding: Fundamentals and Applications. L. H. Charles Lee. Artech House Boston London Convolutional Coding: Fundamentals and Applications L. H. Charles Lee Artech House Boston London Contents Preface xi Chapter 1 Introduction of Coded Digital Communication Systems 1 1.1 Introduction 1 1.2

More information

Image Enhancement and Compression using Edge Detection Technique

Image Enhancement and Compression using Edge Detection Technique Image Enhancement and Compression using Edge Detection Technique Sanjana C.Shekar 1, D.J.Ravi 2 1M.Tech in Signal Processing, Dept. Of ECE, Vidyavardhaka College of Engineering, Mysuru 2Professor, Dept.

More information

EEL 6586, Project - Hearing Aids algorithms

EEL 6586, Project - Hearing Aids algorithms EEL 6586, Project - Hearing Aids algorithms 1 Yan Yang, Jiang Lu, and Ming Xue I. PROBLEM STATEMENT We studied hearing loss algorithms in this project. As the conductive hearing loss is due to sound conducting

More information

Epileptic seizure detection using EEG signals by means of stationary wavelet transforms

Epileptic seizure detection using EEG signals by means of stationary wavelet transforms I J C T A, 9(4), 2016, pp. 2065-2070 International Science Press Epileptic seizure detection using EEG signals by means of stationary wavelet transforms P. Grace Kanmani Prince 1, R. Rani Hemamalini 2,

More information

BC ACCUNIQ BC310 Specifications. Body Composition Analyzer. Medical Diagnostic Device

BC ACCUNIQ BC310 Specifications. Body Composition Analyzer. Medical Diagnostic Device Medical Diagnostic Device ACCUNIQ BC310 Specifications Model Measuring Method Frequency Range Measuring Site Results Sheet Data Power Consumption Measuring Current Power Consumption Display Input Device

More information

CSDplotter user guide Klas H. Pettersen

CSDplotter user guide Klas H. Pettersen CSDplotter user guide Klas H. Pettersen [CSDplotter user guide] [0.1.1] [version: 23/05-2006] 1 Table of Contents Copyright...3 Feedback... 3 Overview... 3 Downloading and installation...3 Pre-processing

More information

SPEECH TO TEXT CONVERTER USING GAUSSIAN MIXTURE MODEL(GMM)

SPEECH TO TEXT CONVERTER USING GAUSSIAN MIXTURE MODEL(GMM) SPEECH TO TEXT CONVERTER USING GAUSSIAN MIXTURE MODEL(GMM) Virendra Chauhan 1, Shobhana Dwivedi 2, Pooja Karale 3, Prof. S.M. Potdar 4 1,2,3B.E. Student 4 Assitant Professor 1,2,3,4Department of Electronics

More information

MRI Image Processing Operations for Brain Tumor Detection

MRI Image Processing Operations for Brain Tumor Detection MRI Image Processing Operations for Brain Tumor Detection Prof. M.M. Bulhe 1, Shubhashini Pathak 2, Karan Parekh 3, Abhishek Jha 4 1Assistant Professor, Dept. of Electronics and Telecommunications Engineering,

More information

OCCLUSION REDUCTION SYSTEM FOR HEARING AIDS WITH AN IMPROVED TRANSDUCER AND AN ASSOCIATED ALGORITHM

OCCLUSION REDUCTION SYSTEM FOR HEARING AIDS WITH AN IMPROVED TRANSDUCER AND AN ASSOCIATED ALGORITHM OCCLUSION REDUCTION SYSTEM FOR HEARING AIDS WITH AN IMPROVED TRANSDUCER AND AN ASSOCIATED ALGORITHM Masahiro Sunohara, Masatoshi Osawa, Takumi Hashiura and Makoto Tateno RION CO., LTD. 3-2-41, Higashimotomachi,

More information

Portable Retina Eye Scanning Device

Portable Retina Eye Scanning Device Portable Retina Eye Scanning Device Engineering Science Department Sonoma State University Students: Cristin Faria & Diego A. Espinosa Faculty Advisor: Dr. Sudhir Shrestha Industry Advisor: Ben Valvodinos

More information

Feature Parameter Optimization for Seizure Detection/Prediction

Feature Parameter Optimization for Seizure Detection/Prediction Feature Parameter Optimization for Seizure Detection/Prediction R. Esteller* #, J. Echauz #, M. D Alessandro, G. Vachtsevanos and B. Litt,. # IntelliMedix, Atlanta, USA * Universidad Simón Bolívar, Caracas,

More information

Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University

Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University Designs of Low-Noise K-band K VCO Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University Introduction Outlines The proposed VCO architecture

More information

ANALYSIS OF BRAIN SIGNAL FOR THE DETECTION OF EPILEPTIC SEIZURE

ANALYSIS OF BRAIN SIGNAL FOR THE DETECTION OF EPILEPTIC SEIZURE ANALYSIS OF BRAIN SIGNAL FOR THE DETECTION OF EPILEPTIC SEIZURE Sumit Kumar Srivastava 1, Sharique Ahmed 2, Mohd Maroof Siddiqui 3 1,2,3 Department of EEE, Integral University ABSTRACT The electroencephalogram

More information

Modifying the Classic Peak Picking Technique Using a Fuzzy Multi Agent to Have an Accurate P300-based BCI

Modifying the Classic Peak Picking Technique Using a Fuzzy Multi Agent to Have an Accurate P300-based BCI Modifying the Classic Peak Picking Technique Using a Fuzzy Multi Agent to Have an Accurate P3-based BCI Gholamreza Salimi Khorshidi School of cognitive sciences, Institute for studies in theoretical physics

More information

Neurostyle. Medical Innovation for Better Life

Neurostyle. Medical Innovation for Better Life Neurostyle Medical Innovation for Better Life Neurostyle Pte Ltd is a company dedicated to design, develop, manufacture and distribute neurological and neuromuscular medical devices. Strategically located

More information