Adaptive Mode Control: A Static-Power-Efficient Cache Design

Size: px
Start display at page:

Download "Adaptive Mode Control: A Static-Power-Efficient Cache Design"

Transcription

1 Adaptive Mode Control: A Static-Power-Efficient Cache Design Huiyang Zhou, Mark Toburen, Eric Rotenberg, Tom Conte Center for Embedded Systems Research (CESR) Department of Electrical & Computer Engineering North Carolina State University

2 Trends Technology Trends Lower threshold voltages in deep sub-micron technologies u increases leakage current (sub-threshold current) u increases static power dissipation Large fraction of die area occupied by on-chip caches 60% of StrongARM die area is cache 2

3 Circuit-level solution Circuit Support SRAM cells with low-leakage operating modes Insert transistors between V dd and Gnd rails Isolating cells from power rails puts them in sleep mode (reduces leakage current) 3

4 Architectural Support Circuit-level technique must be controlled at the architecture level Data stored in sleeping cell is unreliable or lost Maximize number of sleep-mode lines while preserving performance Caches tradeoff efficiency for robustness Deactivate (put into sleep mode) unused cache lines 4

5 Methods for Dynamically Deactivating Cache Lines Related Work DRI Cache [S-H Yang, et. al.] Deactivate large groups of cache lines Miss rate periodically compared to statically preset miss bound Cache Line Decay [S. Kaxiras, et. al.] Deactivate individual lines after a preset decay time Per-application profiling required to determine best miss bound and decay time 5

6 The Need for Adaptivity Per-benchmark cache line decay times, tuned to reduce performance by no more than 4% decay time (x 1024 cycles) comp gcc go jpeg li best decay time m88k perl vortex Adaptive extensions to cache line decay Exploiting generational behavior [S. Kaxiras, et. al.] Adaptive mode control (our approach) 6

7 Key idea Adaptive Mode Control (AMC) Tags are always kept active Know what miss rate could be with all cache lines active Actual miss rate can be made to precisely track hypothetical miss rate 7

8 Adaptive Mode Control (AMC) Can distinguish between two types of misses Ideal miss Tag miss Would have occurred in conventional cache Sleep miss Tag hit, cache line in sleep mode Extra miss introduced by sleep mode Control turn-off interval based on ratio of sleep misses to ideal misses Increase turn-off interval if ratio too high Decrease turn-off interval if ratio too low Keep turn-off interval the same if ratio reasonable 8

9 Outline á Introduction AMC cache architecture Adaptive mechanism (control system) Simulation methodology Results Conclusions 9

10 AMC Cache Architecture Tag Index Block Offset LIC Update Interval Control Register (GCR) row select mode control row decoder Line Tag Store Idle Mode Counter Control (LIC) Logic Data Store tag mode (MCL) Block Offset tag match & mode check row select mode control word select MUX hit/miss adaptive mechanism data word 10

11 Significance of Turn-off Interval (GCR) first access last access evicted Too small: Prematurely de-activate lines, extra sleep misses Too large: Don t de-activate lines before eviction, lost power-savings opportunity Good 11

12 Adaptive Mechanism Tag store hit/miss active/sleep status ideal miss counter end of sense interval? performance factor sleep miss counter GCR update logic increase/decrease no_update <<1 >>1 G C R 12

13 How the control system works number of misses 0.5*PF*(ideal misses) target error = PF*(ideal misses) increase GCR decrease GCR error > 1.5*PF*(ideal misses) {increase GCR} error < 1.5*PF*(ideal misses) error > 0.5*PF*(ideal misses) {no change to GCR} error < 0.5*PF*(ideal misses) {decrease GCR} ideal misses time 13

14 GCR Update Algorithm Performance factor (PF): target ratio of sleep misses to ideal misses Determines how many additional misses can be tolerated in exchange for static power savings Algorithm if ((sleep misses) < ((ideal misses)*0.5*pf)) { decrease GCR: shift GCR right by one bit } else if ((sleep misses) > ((ideal misses)*1.5*pf)) { increase GCR: shift GCR left by one bit } else { do not change GCR } 14

15 Simulation Methodology A MIPS R10000-like, dynamically scheduled, 4-way issue superscalar processor Instruction and data caches 16 KB/32 KB/64 KB Direct-mapped and 4-way set-associative 64-byte blocks I-cache hit time = 1 cycle; miss penalty 12 cycles D-cache hit time = 2 cycles; miss penalty 14 cycles AMC PF = ½ Sense interval = 1 million cycles LIC update interval = 2048 cycles 15

16 AMC I-Cache Results 16 vortex H. Mean 16k-DM 32k-DM 64k-DM 16k-4way 32k-4way 64k-4way go jpeg li m88k perl gcc comp % IPC degradation

17 AMC I-Cache Results (cont.) 16k-DM 32k-DM 64k-DM 16k-4way 32k-4way 64k-4way 17 gcc go jpeg li m88k perl vortex A. Mean comp Cache Line Turn-off Ratio

18 AMC D-Cache Results 16k-DM 32k-DM 64k-DM 16k-4way 32k-4way 64k-4way 18 vortex H. Mean go jpeg li m88k perl gcc comp % IPC degradation

19 AMC D-Cache Results (cont.) 16k-DM 32k-DM 64k-DM 16k-4way 32k-4way 64k-4way 19 gcc go jpeg li m88k perl vortex A_mean comp Cache Line Turn-off Ratio

20 AMC I-Cache and D-Cache Results AMC can be applied simultaneously to both the instruction cache and data cache 64kB 2-way I-cache and 64kB 4-way D-cache Turn-off ratios of 73% and 56% for I-cache and D- cache, respectively Performance degradation is only 1.8% 20

21 Conclusion Key idea: The tag store is always kept active Enables hypothetical performance without sleep mode to be determined and used to control real performance Improvement over setting arbitrary and static performance targets Proposed a control system that keeps the number of sleep misses within a certain factor of ideal misses AMC is an effective means for improving staticpower-efficiency in caches while maintaining good performance Uncovered interesting trends, e.g., higher associativity yields lower turn-off ratios 21

22 Power Analysis See companion technical report for detailed power analysis EDP and other metrics Static and dynamic power analysis, including dynamic overhead for additional L2 requests and dynamic plus static overhead of LIC counters We used Compaq 0.35µm I-cache technology [H. Zhou, et. al., Technical Report, NCSU, Nov. 2000] 22

23 Future Work Power analysis using projected technology data Compare with generational cache line decay approach Reducing power dissipation further Keep only partial tags active Increase static power savings Exploit non-destructive sleep-mode circuit design [K. Noii, et. al., ISPLED, 1998] Eliminate dynamic power increase due to refreshing sleep-mode data from L2 cache Eliminate dynamic power increase due to writing dirty data to L2 before deactivating cache line 23

24 Contact Information Huiyang Zhou Mark Toburen Eric Rotenberg Tom Conte North Carolina State University 24

A BIST Scheme for Testing and Repair of Multi-Mode Power Switches

A BIST Scheme for Testing and Repair of Multi-Mode Power Switches A BIST Scheme for Testing and Repair of Multi-Mode Power Switches Zhaobo Zhang Dept. Electrical & Computer Eng. Duke University, USA Email: zz18@duke.edu Xrysovalantis Kavousianos, Yiorgos Tsiatouhas Dept.

More information

A low supply voltage and wide-tuned CMOS Colpitts VCO

A low supply voltage and wide-tuned CMOS Colpitts VCO This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* A low supply voltage and wide-tuned CMOS Colpitts

More information

An Analysis of Correlation and Predictability: What Makes Two-Level Branch Predictors Work

An Analysis of Correlation and Predictability: What Makes Two-Level Branch Predictors Work An Analysis of Correlation and Predictability: What Makes Two-Level Branch Predictors Work Marius Evers Sanjay J. Patel Robert S. Chappell Yale N. Patt Department of Electrical Engineering and Computer

More information

Reducing Power Requirements of Instruction Scheduling Through Dynamic Allocation of Multiple Datapath Resources*

Reducing Power Requirements of Instruction Scheduling Through Dynamic Allocation of Multiple Datapath Resources* Reducing Power Requirements of Instruction Scheduling Through Dynamic Allocation of Multiple Datapath Resources* Dmitry Ponomarev, Gurhan Kucuk, Kanad Ghose Department of Computer Science State University

More information

Recap DVS. Reduce Frequency Only. Reduce Frequency and Voltage. Processor Sleeps when Idle. Processor Always On. Processor Sleeps when Idle

Recap DVS. Reduce Frequency Only. Reduce Frequency and Voltage. Processor Sleeps when Idle. Processor Always On. Processor Sleeps when Idle Energy Continued Recap DVS Reduce Frequency Only Reduce Frequency and Voltage Processor Sleeps when Idle Processor Always On Processor Sleeps when Idle Bad idea! Good idea! Should we do frequency scaling

More information

Confidence Estimation for Speculation Control

Confidence Estimation for Speculation Control Confidence Estimation for Speculation Control Dirk Grunwald and Artur Klauser Department of Computer Science Campus Box 430 University of Colorado Boulder, CO 80309 fgrunwald,klauserg@cs.colorado.edu Srilatha

More information

Enhancing LTP-Driven Cache Management Using Reuse Distance Information

Enhancing LTP-Driven Cache Management Using Reuse Distance Information University of Maryland Technical Report UMIACS-TR-2007-33 Enhancing LTP-Driven Cache Management Using Reuse Distance Information Wanli Liu and Donald Yeung Department of Electrical and Computer Engineering

More information

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar ULTRA-LOW-POWER LOW BIO-INSPIRED INSPIRED AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar Research Lab of Electronics Massachusetts Institute of Technology Electrical Engineering and Computer Science FOE Talk

More information

Design Methodology for Fine-Grained Leakage Control in MTCMOS

Design Methodology for Fine-Grained Leakage Control in MTCMOS Abstract Design Methodology for Fine-Grained Leakage Control in MTCMOS Benton H. Calhoun, Frank A. Honore, and Anantha Chandrakasan Massachusetts Institute of Technology, Cambridge, MA, 239 {bcalhoun,honore,anantha}@mtl.mit.edu

More information

DATE 2006 Session 5B: Timing and Noise Analysis

DATE 2006 Session 5B: Timing and Noise Analysis DATE 2006 Session 5B: Timing and Noise Analysis Bus Stuttering : An Encoding Technique To Reduce Inductive Noise In Off-Chip Data Transmission Authors: Brock J. LaMeres, Agilent Technologies Sunil P. Khatri,

More information

Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations

Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations Brent Bero and Jabulani Nyathi School of EECS Washington State University Pullman, WA (USA) {bbero,jabu} @eecs.wsu.edu Abstract-

More information

Abstract. 1 Introduction

Abstract. 1 Introduction Composite Confidence Estimators for Enhanced Speculation Control Daniel A. Jiménez Calvin Lin Department of Computer Sciences The University of Texas at Austin Austin, TX 78712 djimenez,lin @cs.utexas.edu

More information

Reduction of Subthreshold Leakage Current in MOS Transistors

Reduction of Subthreshold Leakage Current in MOS Transistors World Applied Sciences Journal 25 (3): 446-450, 2013 ISSN 1818-4952 IDOSI Publications, 2013 DOI: 10.5829/idosi.wasj.2013.25.03.797 Reduction of Subthreshold Leakage Current in MOS Transistors 1 2 3 4

More information

Total Ionizing Dose Test Report. No. 16T-RT3PE3000L-CG896-QMLPK

Total Ionizing Dose Test Report. No. 16T-RT3PE3000L-CG896-QMLPK Total Ionizing Dose Test Report No. 16T-RT3PE3000L-CG896-QMLPK December 1, 2016 Table of Contents I. Summary Table... 3 II. Total Ionizing Dose (TID) Testing... 3 A. Device-Under-Test (DUT) and Irradiation

More information

Enhancing LTP-Driven Cache Management Using Reuse Distance Information

Enhancing LTP-Driven Cache Management Using Reuse Distance Information Journal of Instruction-Level Parallelism 11 (2009) 1-24 Submitted 10/08; published 4/09 Enhancing LTP-Driven Cache Management Using Reuse Distance Information Wanli Liu Donald Yeung Department of Electrical

More information

The Reach Profiler (REAPER): Enabling the Mitigation of DRAM Retention Failures via Profiling at Aggressive Conditions

The Reach Profiler (REAPER): Enabling the Mitigation of DRAM Retention Failures via Profiling at Aggressive Conditions The Reach Profiler (REAPER): Enabling the Mitigation of DRAM Retention Failures via Profiling at Aggressive Conditions Minesh Patel Jeremie S. Kim Onur Mutlu ETH Zürich Carnegie Mellon University ABSTRACT

More information

Neuroscience, Sloppiness, and Ground Truth

Neuroscience, Sloppiness, and Ground Truth Probabilistic Modeling Lab, Interdisciplinary Affective Science Lab Northeastern University Neuroscience, Sloppiness, and Ground Truth (Or: could a computer scientist Game Shark a brain?) Eli Sennesh sennesh.e@husky.neu.edu

More information

Confidence Estimation for Speculation Control

Confidence Estimation for Speculation Control Confidence Estimation for Speculation Control Dirk Grunwald and Artur Klauser Department of Computer Science Campus Box 430 University of Colorado Boulder, CO 80309 grunwald,klauser @cs.colorado.edu Srilatha

More information

Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor

Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor Rupesh S. Shelar Low Power IA Group Intel Corporation, Austin, TX December 13 th 2011 UT ICS/IEEE Seminar, UT Austin

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK. Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK. Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I Year/Sem:II / IV PART-A(2 MARKS) SEMICONDUCTORS AND RECTIFIERS 1. What

More information

AUTOMATIONWORX. User Manual. UM EN IBS SRE 1A Order No.: INTERBUS Register Expansion Chip IBS SRE 1A

AUTOMATIONWORX. User Manual. UM EN IBS SRE 1A Order No.: INTERBUS Register Expansion Chip IBS SRE 1A AUTOMATIONWORX User Manual UM EN IBS SRE 1A Order No.: 2888741 INTERBUS Register Expansion Chip IBS SRE 1A AUTOMATIONWORX User Manual INTERBUS Register Expansion Chip IBS SRE 1A 06/2006 Designation: Revision:

More information

Leakage Aware Dynamic Slack Reclamation in Real-Time Embedded Systems

Leakage Aware Dynamic Slack Reclamation in Real-Time Embedded Systems Leakage Aware Dynamic Slack Reclamation in Real-Time Embedded Systems Ravindra Jejurikar Rajesh K. Gupta Center for Embedded Computer Systems, Department of Information and Computer Science, University

More information

Mike Davies Director, Neuromorphic Computing Lab Intel Labs

Mike Davies Director, Neuromorphic Computing Lab Intel Labs Mike Davies Director, Neuromorphic Computing Lab Intel Labs Loihi at a Glance Key Properties Integrated Memory + Compute Neuromorphic Architecture 128 neuromorphic cores supporting up to 128k neurons and

More information

Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability

Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability S. Ghosh, S. Bhunia, and K. Roy School of Electrical and Computer Engineering, Purdue University, IN {ghosh3, bhunias, kaushik}@ecn.purdue.edu

More information

TOTAL IONIZING DOSE TEST REPORT

TOTAL IONIZING DOSE TEST REPORT TOTAL IONIZING DOSE TEST REPORT No. 07T-RTAX2000S-D2S8N5 Sept. 5, 2007 J.J. Wang (650) 318-4576 jih-jong.wang@actel.com I. SUMMARY TABLE Parameter Tolerance 1. Functionality Passed 300 krad(sio 2 ) 2.

More information

Kinetic Modeling of Data Eviction in Cache

Kinetic Modeling of Data Eviction in Cache Kinetic Modeling of Data Eviction in Cache Xiameng Hu, Xiaolin Wang, Lan Zhou, YingweiLuo Peking University Chen Ding University of Rochester Zhenlin Wang Michigan Technological University 1 Background

More information

An Energy Efficient Sensor for Thyroid Monitoring through the IoT

An Energy Efficient Sensor for Thyroid Monitoring through the IoT An Energy Efficient Sensor for Thyroid Monitoring through the IoT P. Sundaravadivel 1, S. P. Mohanty 2, E. Kougianos 3, U. Albalawi 4. NanoSystem Design Laboratory (NSDL, http://nsdl.cse.unt.edu) University

More information

Silicon Amnesia and Dementia:

Silicon Amnesia and Dementia: Silicon Amnesia and Dementia: Radiation effects in microelectronics Dr. Robert Baumann TI and IEEE Fellow Technology Office Aerospace and Defense Products Robert Baumann Robert Baumann Slide 1/20 Texas

More information

Dynamic Slack Reclamation with Procrastination Scheduling in Real-Time Embedded Systems

Dynamic Slack Reclamation with Procrastination Scheduling in Real-Time Embedded Systems Dynamic Slack Reclamation with Procrastination Scheduling in Real-Time Embedded Systems Ravindra Jejurikar Center for Embedded Computer Systems University of California, Irvine Irvine, CA 9697 jezz@cecs.uci.edu

More information

Lecture 10: Efficient Design of Current-Starved VCO

Lecture 10: Efficient Design of Current-Starved VCO Lecture 10: Efficient Design of Current-Starved VCO CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors

More information

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research, Volume 2, Issue 2, February-2011 1 Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar

More information

Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University

Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University Designs of Low-Noise K-band K VCO Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University Introduction Outlines The proposed VCO architecture

More information

Efficient Feature Extraction and Classification Methods in Neural Interfaces

Efficient Feature Extraction and Classification Methods in Neural Interfaces Using a simple decision tree model, we introduce efficient hardware architectures to predict abnormal neurological states in various disorders. Efficient Feature Extraction and Classification Methods in

More information

Outline. Pipeline, Pipelined datapath Dependences, Hazards. Control Hazards Branch prediction. Structural, Data - Stalling, Forwarding

Outline. Pipeline, Pipelined datapath Dependences, Hazards. Control Hazards Branch prediction. Structural, Data - Stalling, Forwarding Control Hazard Outline Pipeline, Pipelined datapath Dependences, Hazards Structural, Data - Stalling, Forwarding Control Hazards Branch prediction Control Hazard Arise from the pipelining of branches and

More information

Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications

Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications Journal of Electron Devices, Vol. 11, 2011, pp. 567-575 JED [ISSN: 1682-3427 ] Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications Subhra Dhar

More information

Design and Implementation of Fine-grained Power Gating Control for Real Time OS

Design and Implementation of Fine-grained Power Gating Control for Real Time OS OS 1 1 1 1 1 2 3 4 1 (PG) OS PG PG OS PG PG PG OS 23% Design and Implementation of Fine-grained Power Gating Control for Real Time OS Shimada Yumi 1 Kobayashi Hiroaki 1 Takahashi Akihiro 1 Sakamoto Ryuiti

More information

Statistical Analysis of MUX-based Physical Unclonable Functions

Statistical Analysis of MUX-based Physical Unclonable Functions Statistical Analysis of MUX-based Physical Unclonable Functions Yingjie Lao, Student Member, IEEE, and Keshab K. Parhi, Fellow, IEEE Abstract Physical unclonable functions (PUFs) can store secret keys

More information

Processing of Logical Functions in the Human Brain

Processing of Logical Functions in the Human Brain Processing of Logical Functions in the Human Brain GRMELA ALEŠ AGCES Ltd. AGCES, Levského 3221/1, Praha 4 CZECH REPUBLIC N. E. MASTORAKIS Military Institutions of University Education, Hellenic Naval Academy,

More information

ERA: Architectures for Inference

ERA: Architectures for Inference ERA: Architectures for Inference Dan Hammerstrom Electrical And Computer Engineering 7/28/09 1 Intelligent Computing In spite of the transistor bounty of Moore s law, there is a large class of problems

More information

Florida State University Libraries

Florida State University Libraries Florida State University Libraries Electronic Theses, Treatises and Dissertations The Graduate School 2008 Historical Study of the Development of Branch Predictors Yuval Peress Follow this and additional

More information

Consider executing this sequence of instructions in the pipeline:

Consider executing this sequence of instructions in the pipeline: Branch Hazards Handling Branches 1 Consider executing this sequence of instructions in the pipeline: address instruction ---------------------------- Issue: 36: sub $10, $4, $8 40: beq $1, $3, 72 44: and

More information

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a 10.1149/05201.1079ecst The Electrochemical Society Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability Masanori Hashimoto a a Department of Information Systems Engineering,

More information

PHASE-LOCKED loops (PLLs) are one of the key building

PHASE-LOCKED loops (PLLs) are one of the key building IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 4, APRIL 2007 775 An On-chip Calibration Technique for Reducing Supply Voltage Sensitivity in Ring Oscillators Ting Wu, Member, IEEE, Kartikeya Mayaram,

More information

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research Volume 2, Issue 6, June-2011 1 Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar Abstract In balancing

More information

Computer Architecture ELEC2401 & ELEC3441

Computer Architecture ELEC2401 & ELEC3441 Last Time Pipeline Computer Architecture ELEC2401 & ELEC3441 Lecture 6 Pipelining (2) Dr. Hayden Kwok-Hay So Department of Electrical and Electronic Engineering Structural Control n On every cycle, the

More information

Post-Silicon Bug Diagnosis with Inconsistent Executions

Post-Silicon Bug Diagnosis with Inconsistent Executions Post-Silicon Bug Diagnosis with Inconsistent Executions Andrew DeOrio Daya Shanker Khudia Valeria Bertacco University of Michigan ICCAD 11 9 November 2011 Functional bugs 17 Jan 1995 Electrical failures

More information

Low-Power DWT-Based Quasi-Averaging Algorithm and Architecture for Epileptic Seizure Detection

Low-Power DWT-Based Quasi-Averaging Algorithm and Architecture for Epileptic Seizure Detection Low-Power DWT-Based Quasi-Averaging Algorithm and Architecture for Epileptic Seizure Detection Himanshu Markandeya 1, Georgios Karakonstantis 1, Shriram Raghunathan 2, Pedro Irazoqui 2 and Kaushik Roy

More information

Exploring MultiObjective Fitness Functions and Compositions of Different Fitness Functions in rtneat

Exploring MultiObjective Fitness Functions and Compositions of Different Fitness Functions in rtneat Exploring MultiObjective Fitness Functions and Compositions of Different Fitness Functions in rtneat KJ Bredder and Cole Harbeck Abstract In machine learning, the fitness function is an incredibly important

More information

SOLUTIONS Homework #3. Introduction to Engineering in Medicine and Biology ECEN 1001 Due Tues. 9/30/03

SOLUTIONS Homework #3. Introduction to Engineering in Medicine and Biology ECEN 1001 Due Tues. 9/30/03 SOLUTIONS Homework #3 Introduction to Engineering in Medicine and Biology ECEN 1001 Due Tues. 9/30/03 Problem 1: a) Where in the cochlea would you say the process of "fourier decomposition" of the incoming

More information

When designing with these products it is important that the Designer take note of the following considerations:

When designing with these products it is important that the Designer take note of the following considerations: Applicable Products HMC820LP6CE HMC821LP6CE HMC822LP6CE HMC824LP6CE HMC826LP6CE HMC828LP6CE HMC829LP6GE HMC830LP6GE HMC831LP6CE HMC832LP6GE HMC833LP6GE HMC834LP6GE HMC836LP6CE HMC837LP6CE HMC838LP6CE HMC839LP6CE

More information

Cleveland State University Department of Electrical and Computer Engineering Control Systems Laboratory. Experiment #3

Cleveland State University Department of Electrical and Computer Engineering Control Systems Laboratory. Experiment #3 Cleveland State University Department of Electrical and Computer Engineering Control Systems Laboratory Experiment #3 Closed Loop Steady State Error and Transient Performance INTRODUCTION The two primary

More information

Current Testing Procedure for Deep Submicron Devices

Current Testing Procedure for Deep Submicron Devices Current Testing Procedure for Deep Submicron Devices Anton Chichkov Dirk Merlier Peter Cox anton.chichkov@mie.alcatel.be dirk.merlier@mie.alcatel.be peter.cox@mie.alcatel.be Alcatel Microelectronics Westerring

More information

TOTAL IONIZING DOSE TEST REPORT

TOTAL IONIZING DOSE TEST REPORT October 10, 2000 TOTAL IONIZING DOSE TEST REPORT No. 00T-RT14100-UCL082 J. J. Wang (408)522-4576 jih-jong.wang@actel.com I. SUMMARY TABLE Parameters Tolerance 1. Gross Functional > 20krad(Si), exact number

More information

SiS9255. Projected Capacitive. Touch-Screen Micro Processor. Data sheet. Rev. 1.2 August 18, 2015

SiS9255. Projected Capacitive. Touch-Screen Micro Processor. Data sheet. Rev. 1.2 August 18, 2015 SiS9255 Projected Capacitive Touch-Screen Micro Processor Data sheet Rev. 1.2 August 18, 2015 This specification is subject to change without notice. Silicon Integrated Systems Corporation assumes no responsibility

More information

Operational Fault Tolerance of CMAC Networks

Operational Fault Tolerance of CMAC Networks 340 Carter, Rudolph and Nucci Operational Fault Tolerance of CMAC Networks Michael J. Carter Franklin J. Rudolph Adam J. Nucci Intelligent Structures Group Department of Electrical and Computer Engineering

More information

SRAM have been the predominant and universal technologies

SRAM have been the predominant and universal technologies IEEE TRANSACTIONS ON COMPUTERS, VOL. 65, NO. 4, APRIL 2016 1055 cnv SRAM: CMOS Technology Compatible Non-Volatile SRAM Based Ultra-Low Leakage Energy Hybrid Memory System Jinhui Wang, Member, IEEE, Lina

More information

40 GbE and 100 GbE PCS Considerations Key Questions to be Answered concerning OTN mapping for MLD (CTBI) architecture

40 GbE and 100 GbE PCS Considerations Key Questions to be Answered concerning OTN mapping for MLD (CTBI) architecture 40 GbE and 100 GbE PCS Considerations Key Questions to be Answered concerning OTN mapping for MLD (CTBI) architecture Stephen J. Trowbridge Alcatel-Lucent 40 GbE and 100 GbE PCS considerations Supporters

More information

Technical support: +49 (0)7223 /

Technical support: +49 (0)7223 / ISO 9001 certified Technical support: +49 (0)7223 / 9493-0 Technical description ADDIVARIOUS PX 901 Screw terminal board 8 th edition 08/2000 Copyright All rights reserved. This manual is intended for

More information

CS 420/527 Project 3 Hopfield Net

CS 420/527 Project 3 Hopfield Net I. Introduction CS 420/527 Project 3 Hopfield Net In this project, the associative memory capacity of Hopefield network is investigated and discussion is given based on experiments. Generally, the neural

More information

An Automated Method for Neuronal Spike Source Identification

An Automated Method for Neuronal Spike Source Identification An Automated Method for Neuronal Spike Source Identification Roberto A. Santiago 1, James McNames 2, Kim Burchiel 3, George G. Lendaris 1 1 NW Computational Intelligence Laboratory, System Science, Portland

More information

A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme

A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme Tae-Hyoung Kim, Jason Liu, John Keane, and Chris H. Kim University of Minnesota, Minneapolis Agenda

More information

Duplexing and Scheduling for 5G Systems

Duplexing and Scheduling for 5G Systems 1 Duplexing and Scheduling for 5G Systems Ganesh Venkatraman, Praneeth Laddu, Antti Tölli Email: {gvenkatr, pladdu, antti.tolli}@ee.oulu.fi Centre for Wireless Communications (CWC), Department of Communications

More information

Agents. Formalizing Task Environments. What s an agent? The agent and the environment. Environments. Example: the automated taxi driver (PEAS)

Agents. Formalizing Task Environments. What s an agent? The agent and the environment. Environments. Example: the automated taxi driver (PEAS) What s an agent? Russell and Norvig: An agent is anything that can be viewed as perceiving its environment through sensors and acting on that environment through actuators. (p. 32) Examples: The agent

More information

Biomimetic Cortical Nanocircuits: The BioRC Project. Alice C. Parker NSF Emerging Models of Technology Meeting July 24, 2008

Biomimetic Cortical Nanocircuits: The BioRC Project. Alice C. Parker NSF Emerging Models of Technology Meeting July 24, 2008 Biomimetic Cortical Nanocircuits: The BioRC Project Alice C. Parker NSF Emerging Models of Technology Meeting July 24, 2008 The BioRC Project Team and Support Alice Parker, PI and Chongwu Zhou, Co-PI Graduate

More information

Bimodal Multicast. Harald Gjermundrød CptS/EE 562 February 10, 2004

Bimodal Multicast. Harald Gjermundrød CptS/EE 562 February 10, 2004 1 Bimodal Multicast Harald Gjermundrød CptS/EE 562 February 10, 2004 Bimodal Multicast 2 Bimodal Attack General commands soldiers Consensus is impossible! If almost all attack victory is certain If very

More information

International Journal of Advance Engineering and Research Development. Gesture Glove for American Sign Language Representation

International Journal of Advance Engineering and Research Development. Gesture Glove for American Sign Language Representation Scientific Journal of Impact Factor (SJIF): 4.14 International Journal of Advance Engineering and Research Development Volume 3, Issue 3, March -2016 Gesture Glove for American Sign Language Representation

More information

Procrastination Scheduling in Fixed Priority Real-Time Systems

Procrastination Scheduling in Fixed Priority Real-Time Systems Procrastination Scheduling in Fixed Priority Real-Time Systems Ravindra Jejurikar Rajesh Gupta Center for Embedded Computer Systems University of California, Irvine Irvine, CA 92697-3425, USA 1 (949) 824-8168

More information

VLSI Design, Fall Design of Adders Design of Adders. Jacob Abraham

VLSI Design, Fall Design of Adders Design of Adders. Jacob Abraham 8. Design of Adders 1 8. Design of Adders Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 27, 2017 ECE Department, University

More information

Efficient Feature Extraction and Classification Methods in Neural Interfaces

Efficient Feature Extraction and Classification Methods in Neural Interfaces Efficient Feature Extraction and Classification Methods in Neural Interfaces Azita Emami Professor of Electrical Engineering and Medical Engineering Next Generation Therapeutic Devices 2 Where We Started:

More information

Outline Pipeline, Pipelined datapath Dependences, Hazards Structural, Data - Stalling, Forwarding Control Hazards Branch prediction

Outline Pipeline, Pipelined datapath Dependences, Hazards Structural, Data - Stalling, Forwarding Control Hazards Branch prediction Control Hazard Outline Pipeline, Pipelined datapath Dependences, Hazards Structural, Data - Stalling, Forwarding Control Hazards Branch prediction Control Hazard Arise from the pipelining of branches and

More information

Jitter-aware time-frequency resource allocation and packing algorithm

Jitter-aware time-frequency resource allocation and packing algorithm Jitter-aware time-frequency resource allocation and packing algorithm The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As

More information

Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs

Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs 22nd Asia and South Pacific Design Automation Conference (ASP-DAC 2017) Special Session 4S: Invited Talk Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs Chung-Yu

More information

HearIntelligence by HANSATON. Intelligent hearing means natural hearing.

HearIntelligence by HANSATON. Intelligent hearing means natural hearing. HearIntelligence by HANSATON. HearIntelligence by HANSATON. Intelligent hearing means natural hearing. Acoustic environments are complex. We are surrounded by a variety of different acoustic signals, speech

More information

Figure 1: Power Angle (Relative) of Generators in Multi Generator Benchmark, Power System Control and Stability by Anderson and Fouad

Figure 1: Power Angle (Relative) of Generators in Multi Generator Benchmark, Power System Control and Stability by Anderson and Fouad Who are we? IPE () is a nonprofit organization passionate about the power engineering industry. Our main mandate is to test and expose the different issues and dysfunctions of different power system simulation

More information

Introduction to Experimental Design

Introduction to Experimental Design Introduction to Experimental Design 16-1 Overview What is experimental design? Terminology Common mistakes Sample designs 16-2 How to: Experimental Design and Analysis Design a proper set of experiments

More information

Statistical Analysis of MUX-based Physical Unclonable Functions

Statistical Analysis of MUX-based Physical Unclonable Functions Statistical Analysis of MUX-based Physical Unclonable Functions Yingjie Lao, Student Member, IEEE, and Keshab K. Parhi, Fellow, IEEE Abstract Physical unclonable functions (PUFs) can store secret keys

More information

Image Enhancement and Compression using Edge Detection Technique

Image Enhancement and Compression using Edge Detection Technique Image Enhancement and Compression using Edge Detection Technique Sanjana C.Shekar 1, D.J.Ravi 2 1M.Tech in Signal Processing, Dept. Of ECE, Vidyavardhaka College of Engineering, Mysuru 2Professor, Dept.

More information

MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility

MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility 1 MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility Neung-Hyung Lee and Saewoong Bahk School of Electrical Engineering & Computer Science, Seoul National University, Seoul Korea

More information

Assignment Question Paper I

Assignment Question Paper I Subject : - Discrete Mathematics Maximum Marks : 30 1. Define Harmonic Mean (H.M.) of two given numbers relation between A.M.,G.M. &H.M.? 2. How we can represent the set & notation, define types of sets?

More information

REV G. Chip System and Display Module Operation Manual

REV G. Chip System and Display Module Operation Manual 995500 REV G Chip System and Display Module Operation Manual Introduction The Keiser Chip System is an electronic replacement for a hand written exercise card. Workout data is stored in a small electronic

More information

Evolutionary Programming

Evolutionary Programming Evolutionary Programming Searching Problem Spaces William Power April 24, 2016 1 Evolutionary Programming Can we solve problems by mi:micing the evolutionary process? Evolutionary programming is a methodology

More information

PHM for Rail Maintenance Management: at the crossroads between data science and physics

PHM for Rail Maintenance Management: at the crossroads between data science and physics PHM for Rail Maintenance Management: at the crossroads between data science and physics Pierre Dersin, Ph.D. PHM Director, ALSTOM Digital Mobility 15/09/2016 Presentation outline Introduction: the benefits

More information

Power Management for Networks to Reduce Energy Consumption

Power Management for Networks to Reduce Energy Consumption Power Management for Networks to Reduce Energy Consumption David Wetherall Intel Research & University of Washington Joint work with: Sylvia Ratnasamy (Intel Research) Sergiu Nedevschi (UC Berkeley) Lucian

More information

Procrastination Scheduling in Fixed Priority Real-Time Systems

Procrastination Scheduling in Fixed Priority Real-Time Systems Procrastination Scheduling in Fixed Priority Real-Time Systems Ravindra Jejurikar Center for Embedded Computer Systems University of California, Irvine Irvine, CA 9697 jezz@cecs.uci.edu Rajesh Gupta Department

More information

Seamless Audio Splicing for ISO/IEC Transport Streams

Seamless Audio Splicing for ISO/IEC Transport Streams Seamless Audio Splicing for ISO/IEC 13818 Transport Streams A New Framework for Audio Elementary Stream Tailoring and Modeling Seyfullah Halit Oguz, Ph.D. and Sorin Faibish EMC Corporation Media Solutions

More information

SableSpMT: A Software Framework for Analysing Speculative Multithreading in Java

SableSpMT: A Software Framework for Analysing Speculative Multithreading in Java SableSpMT: A Software Framework for Analysing Speculative Multithreading in Java Christopher J.F. Pickett and Clark Verbrugge School of Computer Science, McGill University Montréal, Québec, Canada H3A

More information

Control Hazards. EE 457 Unit 6c. Option 1: Stalling. An Opening Example. Control Hazards

Control Hazards. EE 457 Unit 6c. Option 1: Stalling. An Opening Example. Control Hazards Hazas EE 7 6c Hazas (branch) hazas are named such because they deal with issues related to program control instructions (branch, jump, subroutine call, etc) There is some delay in determining a branch

More information

SNJB College of Engineering Department of Computer Engineering

SNJB College of Engineering Department of Computer Engineering 1. Intel s programmable device (8253) facilitates the generation of accurate time delays. a) counter b) timer c) both a & b d) none of these 2. The programmable timer device (8253) contains three independent

More information

Interfacing the Enhanced Parallel Port Version 1.0

Interfacing the Enhanced Parallel Port Version 1.0 Interfacing the Enhanced Parallel Port Version 1.0 Disclaimer : While every effort has been made to make sure the information in this document is correct, the author can not be liable for any damages whatsoever

More information

5GHz Band SPDT Switch + LNA GaAs MMIC

5GHz Band SPDT Switch + LNA GaAs MMIC 5GHz Band SPDT Switch + LNA GaAs MMIC GENERAL DESCRIPTION The NJG1739K51 is a 5GHz band SPDT switch + low noise amplifier GaAs MMIC designed for wireless LAN front-end applications. The NJG1739K51 features

More information

Improved Intelligent Classification Technique Based On Support Vector Machines

Improved Intelligent Classification Technique Based On Support Vector Machines Improved Intelligent Classification Technique Based On Support Vector Machines V.Vani Asst.Professor,Department of Computer Science,JJ College of Arts and Science,Pudukkottai. Abstract:An abnormal growth

More information

Image Captioning using Reinforcement Learning. Presentation by: Samarth Gupta

Image Captioning using Reinforcement Learning. Presentation by: Samarth Gupta Image Captioning using Reinforcement Learning Presentation by: Samarth Gupta 1 Introduction Summary Supervised Models Image captioning as RL problem Actor Critic Architecture Policy Gradient architecture

More information

NeuroMem Technology Reference Guide

NeuroMem Technology Reference Guide NeuroMem Technology Reference Guide Version 4.9.3 Revised 08/20/2018 NeuroMem is a technology of General Vision, Inc. (www.general-vision.com) This manual is copyrighted and published by General Vision.

More information

Fig. 1: Typical PLL System

Fig. 1: Typical PLL System Integrating The PLL System On A Chip by Dean Banerjee, National Semiconductor The ability to produce a set of many different frequencies is a critical need for virtually all wireless communication devices.

More information

arxiv: v1 [stat.ap] 16 Nov 2018

arxiv: v1 [stat.ap] 16 Nov 2018 Statistical Impact of New York Health Legislation Will Long Joe Zhou Zhirui Hu Yuntian Deng {wlong@college, jzhou2@g, zhiruihu@g, dengyuntian@seas}.harvard.edu arxiv:1811.78v1 [stat.ap] 16 Nov 218 Harvard

More information

SO14 IC Serial Output IC For 14 Bits of Output

SO14 IC Serial Output IC For 14 Bits of Output ABCircuits www.abcircuits.com POB New Hill () 0-0 General Description SO IC Serial Output IC For Bits of Output The SO IC is designed to provide bits of output data to connect to RS-, RS-, USB, Ethernet

More information

Re: ENSC 370 Project Gerbil Functional Specifications

Re: ENSC 370 Project Gerbil Functional Specifications Simon Fraser University Burnaby, BC V5A 1S6 trac-tech@sfu.ca February, 16, 1999 Dr. Andrew Rawicz School of Engineering Science Simon Fraser University Burnaby, BC V5A 1S6 Re: ENSC 370 Project Gerbil Functional

More information

Artificial Intelligence Agents and Environments 1

Artificial Intelligence Agents and Environments 1 Artificial Intelligence and Environments 1 Instructor: Dr. B. John Oommen Chancellor s Professor Fellow: IEEE; Fellow: IAPR School of Computer Science, Carleton University, Canada. 1 The primary source

More information

CHAPTER 6 DESIGN AND ARCHITECTURE OF REAL TIME WEB-CENTRIC TELEHEALTH DIABETES DIAGNOSIS EXPERT SYSTEM

CHAPTER 6 DESIGN AND ARCHITECTURE OF REAL TIME WEB-CENTRIC TELEHEALTH DIABETES DIAGNOSIS EXPERT SYSTEM 87 CHAPTER 6 DESIGN AND ARCHITECTURE OF REAL TIME WEB-CENTRIC TELEHEALTH DIABETES DIAGNOSIS EXPERT SYSTEM 6.1 INTRODUCTION This chapter presents the design and architecture of real time Web centric telehealth

More information

Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay

Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay Georgia Institute of Technology, Atlanta, GA, 3332 jeremy.r.tolbert@gatech.edu,

More information