Mercedes Cabrerizo and Malek Adjouadi

Size: px
Start display at page:

Download "Mercedes Cabrerizo and Malek Adjouadi"

Transcription

1 Int. J. Embedded Systems, Vol. X, No. Y, 200x 1 EEG processing: a many-core approach utilising the Intel single-chip cloud computer platform Gildo Torres* Department of Electrical and Computer Engineering, Clarkson University, Potsdam, NY, USA torresg@clarkson.edu *Corresponding author Paul McCall Department of Electrical and Computer Engineering, Florida International University, Miami, FL, USA pmcca001@fiu.edu Chen Liu Department of Electrical and Computer Engineering, Clarkson University, Potsdam, NY, USA cliu@clarkson.edu Mercedes Cabrerizo and Malek Adjouadi Department of Electrical and Computer Engineering, Florida International University, Miami, FL, USA cabreriz@fiu.edu adjouadi@fiu.edu Abstract: Epilepsy is the most frequent neurological disorder other than stroke. The electroencephalogram (EEG) is the main tool used in monitoring and recording brain signals. In this study, we target two detection algorithms that are essential in the diagnosis of epileptic patients. These algorithms detect high frequency oscillations (HFO) and interictal spikes (IIS) in subdural EEG recordings respectively. This paper presents the efforts on porting both EEG processing algorithms into Intel s concept vehicle, the single-chip cloud computer (SCC), a fully programmable 48-core prototype provided with an on-chip network along with advanced power management technologies and support for message-passing. Several experiments are presented for different SCC configurations, where we vary the number of cores used and their respective voltage/frequency settings. The application was decomposed into two execution regions (i.e., load and execution). Results are presented in the form of performance, power, energy, and energy-delay product (EDP) metrics for each experiment. Keywords: many-core; Intel SCC; EEG processing; high frequency oscillation; interictal spike; power-aware computing. Reference to this paper should be made as follows: Torres, G., McCall, P., Liu, C., Cabrerizo, M. and Adjouadi, M. (xxxx) EEG processing: a many-core approach utilising the Intel single-chip cloud computer platform, Int. J. Embedded Systems, Vol. X, No. Y, pp.xxx xxx. Biographical notes: Gildo Torres is a third-year PhD student in the Department of Electrical and Computer Engineering at Clarkson University. He received his MS in Computer Engineering in from Florida International University in Paul McCall received his BS, MS, and PhD in Electrical Engineering from Florida International University in 2008, 2010, and 2013, respectively. He conducts research in the area of space situational awareness and sensor modelling. Copyright 20XX Inderscience Enterprises Ltd.

2 2 G. Torres et al. Chen Liu is an Assistant Professor in the Department of Electrical and Computer Engineering at Clarkson University, New York, USA. He received his PhD in Electrical and Computer Engineering from the University of California, Irvine in Mercedes Cabrerizo is a Ware Foundation Research Scientist with the neuro-engineering program (FIU-MCH) with the Department of Electrical and Computer Engineering at Florida International University. She received her PhD in Electrical Engineering from Florida International University in Malek Adjouadi is a Professor in the Department of Electrical and Computer Engineering at Florida International University. He received his MS and PhD in Electrical Engineering from the University of Florida, Gainesville in 1981 and 1985, respectively. 1 Introduction According to the National Institute of Neurological Disorders and Stroke (NINDS), more than two million people in the USA, and over 60 million people around the world, have been diagnosed with epilepsy or have experienced a seizure. Epilepsy is a neurological disorder that is characterised by a predisposition to unprovoked recurrent seizures. Seizures are brought about by a burst of abnormal electrical activity in the brain. This abnormal activity is a manifestation of the hypersynchronous discharge of a population of cortical neurons (Spencer et al., 2009). Many advanced clinical techniques are used to diagnose epilepsy, such as computed tomography (CT), electroencephalogram (EEG), magnetic resonance imaging (MRI), positron emission tomography (PET), magnetoencephalography (MEG), and functional MRI, along with others. Frequently, the aforementioned non-invasive monitoring and imaging techniques yield a coarse approximation of the epileptogenic region and lack either the spatial or temporal resolution necessary to accurately determine the seizure focus location. In these patients invasive data may be acquired by placing subdural electrode grids on the cortex (ECoG) in a prolonged (~1 week) monitoring session (Huiskamp and Agirre-Arrizubieta, 2009). During this monitoring, seizures may be captured, as well as high frequency oscillations (HFO), and interictal spikes (IIS). During ECoG recording, it is routine clinical practice to place multiple electrode arrays on different areas of interest to the neurologists. Multiple arrays act to both eliminate certain cortical regions of interest and designate cortical areas where more analysis may be needed. For example, it is customary for a patient undergoing ECoG to have sixty-five or more implanted electrodes (Torres et al., 2012). The example placement of an electrode-grid on the cortex of a patient is shown in Figure 1. This research focuses on the processing of this EEG data via the implementation of two detection algorithms for HFO and IIS on an innovative computing platform. Current EEG processing at the academic and clinical level is done with a variety of software packages including CURRY Scan Neuroimaging Suite, PRANA Software Suite, and many MATLAB open source and proprietary toolboxes. These software products are run on an assortment of different platforms determined by the needs, resources, and accessibility of the researchers. With these processes and analyses being performed in a more timely and energyefficient manner, it allows for commercial products such as an EEG processing suite to be implemented in a clinical bed-side device that offers portability, flexibility, and programmability to the researcher. This transition to a marketable product may be possible with the availability of commercially available many-core processing platforms. With processing being done concurrently with electrode recordings, monitored by a neurologist, and in close proximity to the patient, this could lead to shorter monitoring time for the patient. For HFO detection, patients are monitored throughout the night and sleep ECoG is recorded for up to ten hours. Reducing this duration of monitoring, as well as the seven to ten days of recording for source localisation and IIS detection, would be of great benefit to the patient, the doctor and the hospital. Figure 1 Sample electrode-grid placement (see online version for colours) In the last several decades, we have seen how microprocessor performance has been dramatically improved by increasing the operating frequency, from 5MHz of Intel 8086 to the astounding 5.2 GHz of IBM z196 (Morgan, 2010). Unfortunately, in recent years, power-thermal issues have limited the pace at which processor frequency can be increased (Held et al., 2006). In an effort to utilise the abundant transistor real estate offered

3 EEG processing 3 by the Moore s Law (Moore et al., 1998) and at the same time contain the power-thermal issues, current developments in microprocessor design favour increasing core counts over frequency scaling to improve processor performance and energy efficiency (Howard et al., 2010). In the commercial field, it is common to have 4, 6, 8 or even more cores housed in one chip nowadays; while the research community makes use of experimental many-core architectures containing tens or even hundreds of processors. Today, the challenge is not only how to develop powerful hardware architectures that satisfy the demands of high resource-consuming applications, but also the development of applications that could effectively exploit the computing capabilities offered by many-core architectures (Lam et al., 2013). The single-chip cloud computer (SCC) experimental processor (Howard et al., 2010) is a 48-core concept vehicle created by Intel Labs as a platform for many-core software research. This system allows the implementation and study of parallel applications by supporting a message-passing programming model for communication among the cores. The SCC also includes hardware elements which support dynamic voltage and frequency scaling (DVFS) for improving energy efficiency. As mentioned earlier, detection algorithms and analyses for EEG recordings are not easily or efficiently processed by desktop computers or even specialised software, in terms of performance or energy. This performance and energy cost is due to the limitation of the processing platforms that are available to researchers in this field. The result of this processing inefficiency is that only a sub-section of the data, ranging between a few seconds to a few minutes, is subjected to analysis while the rest of the data is discarded. Significant efforts have been continuously made to develop systems (including both hardware and software) that offer faster and more portable solutions in the medical field (Xu et al., 2010). García et al. (2014), proposed an autonomous embedded system for evoked biopotential acquisition and processing that can be used on different evoked potential scenarios like medical equipment or brain computer interfaces, fulfilling the strict real-time constraints that they impose. This paper illustrates the benefits of utilising the Intel s SCC as a suitable platform for an EEG processing suite. However, the objective of this research is not limited to the simple use of the SCC as a many-core research prototype; it is intended to present a feasibility study showing how this kind of neuroscience processing adapts to the future manycore platforms. Knowing that, in the not too far future, the commercialisation and availability of many-core platforms will not be limited to the research community only. The rest of the paper is organised as follows. Section 2 presents an overview of the algorithms to be implemented. Section 3 contains the synopsis of the SCC as a computational platform. The methodology proposed for processing EEG data on the Intel SCC is described in Section 4. The experiment configuration is described in Section 5 and Section 6 presents and comments on the obtained experimental results. The paper concludes in Section 7 and gives an outlook for future work. 2 Algorithm introduction This section describes the algorithms that will be implemented, as well as their importance to neuroscience and epilepsy research. 2.1 EEG algorithms The goal of any surgery is the patient s complete recovery with maximum relief and minimal adverse effects. This goal is less complicated when epilepsy is predicated on tumour location revealed through standard imaging studies. Success is more difficult, however, in non-lesional cases, where the characteristics of the epilepsy event are more difficult to define. It is in these cases where surgery is an option and there is no tumour location revealed through imaging. Hence, further analyses are needed in order to localise the SOZ and areas of possible resection. Both IIS and HFO have become recognised as reliable biomarkers in identification of the epileptic focus of the brain (Smart et al., 2005; Gardner et al., 2007; Cabrerizo et al., 2011). 2.2 HFO detection In the last fifteen years of epilepsy research, significant progress has been made in the discovery of high-frequency cortical activity (>80 Hz). The suggestion that population neuronal activity at high frequencies may be involved in epileptogensis and seizure genesis led to increased interest in this phenomenon in experimental and clinical epileptology (Jiruska et al., 2010). Clinical EEG recordings are recorded for several hours at sampling rates of 2 khz and above for HFO detection. HFO can be classified as ripples occurring in the Hz range and fast ripples occurring in the Hz range (Bragin et al., 2010). The HFO algorithm implemented in this research is outlined below. DC offset is removed from data. Bandstop filter is implemented to remove 60 Hz noise. Bandpass filter is implemented to extract spectral band of interest, Hz. First 250 ms of recording is removed due to filter oscillations. Normalisation is performed across all electrodes based upon maximum amplitude electrode recording. Threshold operator is set to three standard deviations as derived by the electrode signal used for normalisation. Ripple detection loop is run on entirety of recordings. 1 recordings are windowed with a sliding window 2 threshold crossings are counted

4 4 G. Torres et al. 3 ripple/hfo hits are detected as a function of the number of threshold crossings for each set of windowed data. Results are output in the form of ripples per electrode recordings, with a corresponding time stamp. Details about the implementation are given in Section IIS detection Interictal activity is defined as brain activity which occurs between ictal states, or between seizures. IIS are short, sharp transients that are observed in the EEG and MEG of epilepsy patients within the ictal period between seizures (Huiskamp, 2009). In the study of epilepsy, IIS play a major role in the clinical diagnosis of epilepsy in patients who have suffered a seizure. Many patients respond well to antiepileptic medication to control their seizures. For the patients who do not respond to the medication, resection surgery is then an option. Along with many other techniques, IIS help surgeons and doctors in the pre-surgical evaluation of the patient. IIS are believed to emanate from the source of the seizure, the epileptic focus, to the cortex, or surface of the brain. The location of the epileptic focus is of paramount importance to the neurosurgeons and patient alike. Source localisation is performed by analysing interictal spikes and calculating the inverse problem using a brain compartment model and sophisticated mathematical algorithms that produces a dipole source (Cabrerizo et al., 2011). The algorithm implemented for the detection of IIS is an adaptation of the algorithm presented by Adjouadi et al. (2005), and is based on the orthogonal Walsh operator. This algorithm implementation has been shown to produce results with a precision (positive predictive value) of 92% and sensitivity of 82% (Adjouadi et al., 2005). This process is outlined below. DC offset is removed from data. Data is down-sampled from 2 khz to 500 Hz sampling rate. Data is filtered using a 10th-order IIR Butterworth bandpass filter isolating the spectral band of interest; 1 70 Hz. 10th-order notch filter is implemented to remove 60 Hz additive noise. 1st and 2nd order Walsh transforms are calculated having differing lengths of 4, 8, and 16. The 1st and 2nd order Walsh Aggregate is constructed from the summation of Walsh transforms of differing lengths. Point-to-point multiplication of the 1st and 2nd order Walsh aggregate with the 1st and 2nd order Walsh operators and result in W1 and W2. Note: The 1st and 2nd order Walsh operators of length 2 and 4 respectively, are used as they are functionally equivalent to the 1st and 2nd derivative. Resultant signal peaks of W1 and W2 are detected and counted; dynamic threshold is constructed as one standard deviation above the mean of all peaks found within three-second windows. The W1 and W2 signals are then subjected to derived threshold to remove portions of the signal which do not meet the threshold requirement. Remaining peaks are quantitatively tested versus ten criteria as listed below, in order to be characterised as a detected IIS. Continuous sharpness of spike, steep rising and falling slope of spike, sharpness of peak, duration, half-wave, amplitude, ratio of potential spike with background activity, multi-channel activity, spike-like transients, slow-wave after a spike. Results are outputted in the form of IIS per electrode recordings with the time stamp/sample point of each detected IIS. For more information regarding the quantitative definitions of the aforementioned criteria, the reader is directed to Adjouadi et al. (2005). A block diagram of the aforementioned EEG detection algorithms is shown in Figure 2. Figure 2 EEG detection algorithm (see online version for colours)

5 EEG processing 5 Figure 3 SCC tile internal architecture (see online version for colours) Source: Torres et al. (2014) 3 Computational platform Intel Labs concept vehicle, the SCC, is a 48-core chip prototype created to study many-core processors, their architectures, and the techniques used to program them. The SCC is introduced as an ideal research platform to help accelerate many-core software research (Intel Labs, 2012a). It is implemented using 45 nm CMOS technology and has a total of 1.3 billion transistors. Each tile has an area of 18 mm 2 with a total die area of 567 mm 2 (Howard et al., 2010). A general overview of the SCC chip architecture is presented in Figure 3. It consists of 48 second-generation Pentium class IA-32 cores on a 6 4 2D-mesh network of tiled core clusters with high-speed I/Os on the periphery (Howard et al., 2010). Each core has 16KB L1 data and 16KB L1 instruction caches as well as a 256 kb unified L2 cache. Each tile has a 16KB SRAM called message passing buffer (MPB), shared by every two cores (in one tile), which is optimised to support the message passing programming model for communication among all the cores (see Figure 3). These MPBs form a shared address space used for data exchange. The cores and MPB within each tile are connected to a router by the mesh interface unit. There are four on-die DDR3 memory controllers that provide each core in the SCC with its own private memory. They provide a maximum capacity of 64 GB of DDR3 memory that physically exists on the SCC board. Data transfer is supported by moving across the on-chip network to one of the four memory controllers and finally to the off-chip DRAM. The same DRAM can be configured as shared memory as well, but as with all shared memory on the SCC architecture, there is no built-in support for cache coherence between cores. Coherency, if it exists at all between cores, is the responsibility of the software (Van der Wijngaart et al., 2011). Another promising feature of the SCC is that, as it was designed with fine-grain power management in mind, it comes with advanced power management technologies. Containing a configurable voltage regulator controller (VRC), the programmer has the capability of independently changing the voltage across the entire chip (Intel Labs, 2012b). The cores in the SCC are divided into six voltage domains, each containing a 2 2 array of tiles (a total of eight cores) as shown in Figure 3. There is a separate voltage domain for the memory controllers and another one for the mesh network, completing a total of eight voltage domains along the chip. Clock may be adjusted at an even finer granularity with each tile on the SCC able to have its own operating frequency. The network, routers, VCR, and memory controllers are frequency islands of their own, providing a total of 28 distinct frequency domains (Howard et al., 2010). The voltage and frequency islands, together called power domains, enable the programmer to adjust the power/performance settings of different parts of the SCC to particular performance levels. The frequency may be adjusted (by a frequency divider) to any of the fifteen available values ranging from 100 MHz up to 800 MHz. There are also seven possible voltage levels in the range of Volts at a resolution of 0.1 Volts (Intel Labs, 2012b). From these ranges of frequency and voltage, not all combinations are allowed for the SCC, passing to the programmer the responsibility of safely selecting the combination to be applied. At any moment, each power domain may be adjusted down to a lower frequency to minimise power consumption. These features would allow the implementation of DVFS techniques (Intel Labs, 2010)

6 6 G. Torres et al. that may continuously adapt to use the minimum power needed at a given moment, resulting in energy savings whenever possible. Selecting the appropriate values of voltage and frequency is under the control of the application, and therefore the programmer. In addition to the physical platform, Intel also provides the RCCE (pronounced rocky ), which is a many-core communication environment particularly developed for the SCC. It is an API library, very similar to MPI, for message passing programming model. The RCCE provides several functionalities, including properly handling the voltage level and operating frequency requests originated at runtime. It also includes support for core numbering in the SCC system, managing communication among the cores, and many other features that can be explorer in Intel Labs (2012b). 4 Algorithm implementation on SCC In the previous section, several interesting aspects of the SCC were summarised. To be more specific, there are three main aspects that make the SCC platform suitable for EEG signal processing: its high computational capacity, its inter-core communication or message-passing abilities, and its power management embedded capacity. These aspects of the SCC make EEG processing a promising application because they address key complexities that are inherent to processing of this type. The biggest one being that both EEG algorithms are highly parallelisable algorithms were the data corresponding to each electrode can be processed independently and in parallel. Along this section we describe our particular many-core implementation of the EEG algorithms utilising Intel s SCC. The application was coded in C and the RCCE library was used for the SCC specific tasks. At the moment of starting the execution, there are several input parameters that are passed to the application. Among these, we specify: Total number of cores to be used (also called as executing cores). Number of loading cores. These are the cores that will be used for loading the data. Voltage level. To be applied to the SCC during the execution. Frequency divider. To be applied to the SCC along with the voltage level during the execution. Total number of electrodes. Total number of samples per electrode. After reading all these parameters, each core is capable of identifying its functions for the execution of the entire program. It worth clarifying that, even though we refer as loading cores and executing cores to identify different groups of cores, they all are part of the total number of cores that are being used to complete the program. When launching the application, as we mention above, two of the parameters that are specified are the number of loading cores and the total number of cores. They are referred with different names based on their function within the application, but that does not mean that at different stages during the program execution the application is using different amount of cores. The number of loading cores is a subset of the executing cores, which itself is equal to the total number of cores. The application has two phases that are well delimited. These two regions of the program were named as the load region and the execution region. The load region includes the section of the program where the data is being loaded (by the loading cores) and distributed to the processing cores. This segment s main steps are described below: open data file read content to memory parse and split the data into electrodes arrays send data (point-to-point) corresponding to the non-loading cores and keep their own electrode data. The execution region starts right after each processing core has received, either from the loading cores or because they are loading cores themselves, all the data corresponding to the electrodes they will process. The main component of this portion of the program is the completion of the EEG processing algorithms for detecting HFO and IIS described earlier in this paper. At this point, all cores have the same responsibility (function), executing these algorithms. The description of the HFO and the IIS detection algorithms is explained in Section 2 and properly illustrated in Figure 2. During the execution region each processing core first applies the HFO detection followed by the IIS algorithm to the data of each electrode. It goes into a loop until all the electrodes are completed. For both the HFO and IIS analysis, results are represented as the number of events per electrode along with a corresponding time stamp for each electrode. Finally these results are sent to and collected by Core-0 to be stored or displayed properly. 5 Experiment configuration The experiment configuration consisted of testing different SCC schemes to analyse the impact of different core utilisations on the metrics presented. It included varying the number of processing cores employed to complete the job from 2 to 32 (2, 4, 8, 16, and 32). For each one of these configurations, we also wanted to explore the effect of varying the amount of cores loading data (referenced as loading cores) during the load region of the program. The number of loading cores is at least 2 for all the configurations, and it is always less than or equal to the total number of executing cores. After the load region is

7 EEG processing 7 completed, which means that all the data has been parsed, split, and distributed, both the loading cores and the non-loading cores continue to executing the EEG algorithms with their respective electrodes data. In total, 15 different configurations were tested. For all experiments presented in this work, the core allocation was performed by using the RCCE default host file. Once the total number of cores is specified by the user, the allocated cores are selected in ascending order from 0 up to 47. EEG electrodes recording data is stored in the format of comma-separated values (CSV) files. Each sample is recorded as floating point with six digits of precision. In our experiment the analysis is done for a dataset of duration over eight minutes for a 64 electrode array, which at a sampling frequency of 2 khz (one million samples per electrode), translates into approximate 640 MB of total data. Two SCC frequency-voltage configurations (namely low gear and high gear) where used during the experiments. The low gear was setup with frequency and voltage adjusted at 533 MHz and 0.8 Volts respectively. This configuration offered a less power-hungry state at the expense of reducing the processing frequency and therefore the computational power. On the other hand, the high gear was set to maximise the SCC s computational capacity, while at the same time its power consumption increased to a higher level. For this case, the SCC was adjusted to run at 800MHz (highest frequency possible for the cores) and 1.1 Volts. As described in Section 4, the program was divided into two separate regions, the load region and the execution region. The load region could be classified as a communication-intensive region since it spends most of its time accessing memory and transmitting data to other cores. Therefore, a high processing power was not required. On the other hand, the execution region was classified as a computation-intensive region, where a high processing frequency was highly convenient. Accordingly, during all the experiments, each region was executed using a different voltage-frequency gear that adjusted to its implicit nature. The low gear was used during the load phase and the high gear during the execution phase. A similar approach has been demonstrated by the use of multiple voltage-frequency gears that run at different segments throughout the program in order to maximise performance while saving energy in a PC cluster setting (Laros et al., 2013). By applying different power states to different phases of the program, we attempt to obtain performance benefits while maintaining energy savings. During the experiments conducted, since the program does not stop executing when switching between different levels of voltage and frequency and there is very little overhead compared to the time consumed by each region, it had no significant impact on the timing results associated with either region or the total execution. 6 Results This section contains the results of the algorithms that have been implemented, as well as the experimental results for the performance, power, energy, and energy-delay product (EDP) metrics obtained from implementing and executing the algorithms with different configurations for the SCC platform. 6.1 EEG algorithm results Figures 4 and 5 illustrate the biomedical results of the algorithms that have been implemented. As mentioned in previous sections, the analysis was completed by processing the data pertaining to 64 electrodes constructed by an 8 8 array placed on the cortex of a patient s brain for monitoring, as illustrated in Figure 1. The total amount of HFO and IIS events identified within the studied surface of the brain, for the collected data that was processed, is show within Figure 4. It is worth mentioning that more important than the absolute event count for each individual electrode, the relative, or normalised, event count among the electrode array allows for the visualisation of peaks and therefore identification of electrodes and cortex regions of interest. Figure 5 shows the isolines of the surface in an attempt to illustrate the effectiveness of the algorithm s ability to locate individual electrodes as well as cortex regions of interest. As stated previously, the algorithm outputs the time stamps of each HFO and IIS, yielding both a spatial and temporal analysis of the detected brain activity. This will then allow for the merger of these two analyses in search of more in-depth analysis regarding the superposition of HFO and IIS and to gauge if they can further and more effectively localise the cortex regions of interest. Figure 4 EEG detection surface (see online version for colours)

8 8 G. Torres et al. Figure 5 EEG isolines (see online version for colours) Figure 6 Performance in seconds (see online version for colours) The results obtained from our implementation of this algorithm were validated by neurologists at Miami children s hospital via comparison with known results, with all electrode signals of interest being identified. 6.2 SCC experimental results Shown below are the experimental results for the performance, power, energy, and EDP metrics obtained from implementing and executing the algorithms with different configurations for the SCC platform. Results for these metrics are presented in Figures 6 to 9 where the load region and the execution region are both identified, allowing a more detailed analysis of the results. In these figures the Y-axis represents time, power, energy or EDP. The X-axis represents the configuration being tested, with the upper row being the number of loading cores and the bottom row being the number of processing cores. Figure 6 presents the performance results, measured in seconds, of the different configurations tested. By looking at these results, the reader can observe how significant the load region is when compared to the total time for the application. This region is dominated by memory access and data transfer operations. At the same time, this phase of the application shows significant improvement as more cores are involved during its completion, evidencing a significant advantage offered from utilising many-core platforms. The speedup is due not only to the fact that more loads are being completed in parallel, but also because the application is able to better exploit the bandwidth offered by all four SCC s memory controllers simultaneously. Another aspect affecting the load region being the dominant factor is that, in this implementation, all the data is generated offline and loaded at the beginning of the program. However, in future implementations, we would expect the data being passed to the algorithms to be a steady stream of data coming from the patient to be processed at real-time. For the execution region, even though the time decreases with greater number of processing cores, it is not as obvious as for the load region. One cause for that behaviour is because each electrode has different characteristics, which are not uniform along the entire array of electrodes. There may be some electrodes containing lots of HFO and IIS, requiring longer processing time, while others may have a very plain signal, being processed more quickly. Another reason is that as the number of processing cores increases, the overhead associated with transferring each electrode parameters and dependencies increases as well, impacting the overall performance of the execution region. Some interesting results may be noticed in the performance graph. It can be seen that the two loading cores and four executing cores configuration runs slower than the two loading cores and two executing cores configuration. This is due to the portion that the loading region occupies in the total execution time. From comparing these two configurations, it can be seen that the execution region is smaller for the two loading cores than it is for the four executing cores configuration. However, the later configuration takes longer to complete because it has the data transferring stage from the loading cores to the nonloading cores while for the two loading cores and two executing cores configuration there is no transfer involved because each core loads its own data. Figure 6 shows how both algorithms are completed together in less than one minute when run on the SCC platform. It can be seen that all the configurations are able to finish processing in less than 450 seconds (in the worst case). When comparing this performance to the total recording time of the data being processed, which is 480 seconds (eight minutes), it becomes a fact that processing of this data can be performed faster than the data is being recorded. From Figure 6 it can be seen that if performance is the priority, the best configuration for the SCC platform consists in having 32 cores to load the data and execute the algorithms, which shows our design scales up quite well with the number of cores. Differences in power consumption for both regions of the program are presented in Figure 7. This graph shows how the execution region always consumes more power than the load region, as more computation is required and a

9 EEG processing 9 higher power status is applied. As it might be expected for an increase in the number of cores, there is a resulting increase in power consumption associated with both regions. Figure 7 Power measurements in watts (see online version for colours) considerably deceases following a similar trend, resulting in what is show in Figure 8. This results reaffirms how the energy consumed by a system is dependent on the balance between performance and power, not simply processor speed or power dissipation alone. This graph shows that for energy considerations, similarly as for performance, completing the execution with 32 loading cores and 32 executing cores is the most energy-efficient across all configurations, even though it is the most power hungry case. Figure 8 Energy in joules (see online version for colours) However, it can be noticed that this aspect is more prominent for the execution region, having a stepping behaviour as the total number of cores being utilised goes up. The power consumed by each configuration is directly affected by the total number of cores being utilised, which specifically affects the total number of power domains that are active during the completion of the program. This is due to the fact that the power settings can only be changed at the granularity of the power domains (see Section 3), where the desired voltage and frequency settings are adjusted by the master core within each domain for all the cores member of that target domain, even though some cores may not be part of the computation. On the other hand, the power consumption trend for the load region appears to have a slower pace of growth for higher core count. This behaviour may be explained because, in addition to the power state adjusted for the application during the load region being similar to the rest of the cores that are not being used, the cores involved in the loading process are not executing a computation intensive task. Thus, having little impact in the total power consumed by the SCC. The performance and power results show how for both regions, there exists a trade-off between the number of cores involved, total time to complete the execution, and the overall power consumption of the chip. The energy consumed by each region, as well as the total energy consumption for each simulation, is shown in Figure 8. Foremost it worth emphasising how small is the portion of energy contributed by the execution region to the total amount consumed by the application in all cases. It is interesting the decreasing trend in total energy consumed for the configurations that having the same total number of cores being utilised, higher number of cores are used for loading the data. Looking back to the power and time results presented in Figures 6 and 7 for these same configurations, it can be observed how the power remains mainly constant while the time to complete the computation Though CMOS circuits often have the ability to trade energy for performance, it is quite difficult to improve both energy and performance simultaneously (Laros et al., 2013). Usually different configurations would impact different metrics, being very uncommon to have one configuration that best satisfies all the metrics at the same time. From the system point of view, minimising the execution time, the power or the energy consumption may be the first priority. However, even if the total energy is minimised, the user may not be satisfied with extended system response time and vice versa (Brooks et al., 2000; Hotta et al., 2006). Some researchers have argued that the process normalised EDP, expressed as joule*second, is a relative implementation-neutral metric (Laros et al., 2013). Because of this, the EDP is also considered as a metric to evaluate the overall implementation of our application in the Intel s SCC platform. Figure 9 EDP in joules*second (see online version for colours)

10 10 G. Torres et al. In Figure 9 the EDP metric is presented for each used configuration. Knowing that it takes into consideration both energy and execution time, it is not surprisingly for these results to show a very similar pattern as the energy and the performance metrics. Finally, it appears obvious that selecting 32 loading cores and 32 executing cores would provide the best of both worlds, user experience and energy consumption. 7 Conclusions and future work The performance and energy benefits that may be obtained from utilising a flexible many-core architecture platform for EEG processing are substantial. The SCC, as the specific many-core computing platform that was used for this research, demonstrated its capabilities processing large amounts of data while implementing complex algorithms in relatively short periods of time in an energy-efficient manner. As demonstrated in the results section, the processing of this data for all SCC configurations is performed faster than the data is being recorded. Theoretically this means that the application can be implemented in real-time using the Intel SCC. Overall, the results presented in this paper evidence how highly-parallel algorithms, like the two presented in this study, can significantly benefit from many-core platforms. For this particular type of applications, all metrics studied show how the more cores are used the better the results obtained. Processing EEG data may take a significant amount of time and energy consumed upon the access and distribution of the data before processing can take place. This problem is magnified within a parallel architecture (Valentini et al., 2013). A significant benefit that was obtained from using the SCC platform comes from exploiting its power management capabilities in favour to minimise wasted energy by setting cores to lower power states while accessing large amounts of data. The second key aspect of EEG data processing addressed by the use of the SCC is that there tends to be dynamic global parameters for most algorithm implementations. This means that the processing of one electrode may be dependent on a parameter defined by another electrode or a group of electrodes. This is due to the aggregate nature of the EEG signal itself. These inherent complexities of most EEG algorithms were alleviated by the inter-core communication or message-passing abilities of the SCC platform. This research aimed to demonstrate the feasibility of EEG analysis to be performed on extended durations of recordings, hours of data instead of minutes. This increase in data processing capabilities, along with the development of more effective analyses, will offer the neurosurgeons and neuroscientists a valuable tool assisting in defining the SOZ with higher resolution and confidence. As for future work, we expect to implement more complex EEG-based detection algorithms capable of being executed on many-core architectures. We realised how significant the data loading region of the EEG applications in our study is. Therefore, we will study this load region in more detailed sub-regions, and figure out how to reduce the process of loading data into the SCC, which is not only affected by the number of samples being manipulated, but also their format and organisation. We also plan to study the impact on the actual results of applying different core allocation schemes and data layouts. Because of inter-core distance and memory controller contention, we expect this feature would positively impact our actual results. We will look into finding the optimum data block size for loading data into the SCC as well. Further research can be done implementing more complex EEG-based detection algorithms targeting not only Intel s SCC, but also other many-core platforms as well. Knowing that the many-core era is in the near future, we can expect the commercialisation and availability of manycore platforms will not be limited to the research community only. Acknowledgements The authors would like to thank Intel Labs for providing us with the SCC platform to conduct this research. The authors would also like to thank the anonymous reviewers for their feedbacks that greatly improved the quality of this paper. This material is based upon work supported by the National Science Foundation under Grant No. ECCS Any opinions, findings, and conclusions or recommendations expressed in this material are those of the authors and do not necessarily reflect the views of either Intel or the National Science Foundation. References Adjouadi, M., Cabrerizo, M., Ayala, M., Sanchez, D., Yaylali, I., Jayakar, P. and Barreto, A. (2005) Detection of interictal spikes and artifactual data through orthogonal transformations, Journal of Clinical Neurophysiology, Vol. 22, No. 1, pp Bragin, A., Engel Jr., J. and Staba, R. J. (2010) High-frequency oscillations in epileptic brain, Current Opinion in Neurology, Vol. 23, No. 2, p.151. Brooks, D.M., Bose, P., Schuster, S.E., Jacobson, H., Kudva, P.N., Buyuktosunoglu, A., Wellman, J-D., Zyuban, V., Gupta, M. and Cook, P.W. (2000) Power-aware microarchitecture: design and modeling challenges for next-generation microprocessors, Micro, IEEE, Vol. 20, No. 6, pp Cabrerizo, M., Goryawala, M., Jayakar, P., Barreto, A., Khizroev, S. and Adjouadi, M. (2011) Accurate 3D source localization of focal epileptic foci using interictal EEG spikes, in Signal Processing in Medicine and Biology Symposium (SPMB), IEEE, pp.1 6. García, P.A., Spinelli, E.M. and Toccaceli, G.M. (2014) An embedded system for evoked biopotential acquisition and processing, Int. J. Embedded Systems, Vol. 6, No. 1, pp

11 EEG processing 11 Gardner, A.B., Worrell, G.A., Marsh, E., Dlugos, D. and Litt, B. (2007) Human and automated detection of high-frequency oscillations in clinical intracranial EEG recordings, Clinical Neurophysiology, Vol. 118, No. 5, pp Held, J., Bautista, J. and Koehl, S. (2006) From a Few Cores to Many: A Tera-scale Computing Research Overview, Intel White Paper. Hotta, Y., Sato, M., Kimura, H., Matsuoka, S., Boku, T. and Takahashi, D. (2006) Profile-based optimization of power performance by using dynamic voltage scaling on a PC cluster, in Proceedings of the 20th International Conference on Parallel and Distributed Processing, IEEE Computer Society, Washington, DC, USA, pp Howard, J., Dighe, S., Hoskote, Y., Vangal, S., Finan, D., Ruhl, G., Jenkins, D., Wilson, H., Borkar, N., Schrom, G., Pailet, F., Jain, S., Jacob, T., Yada, S., Marella, S., Salihundam, P., Erraguntla, V., Konow, M., Riepen, M., Droege, G., Lindemann, J., Gries, M., Apel, T., Henriss, K., Lund-Larsen, T., Steibl, S., Borkar, S., De, V., Van Der Wijngaart, R. and Mattson, T. (2010) A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS, in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), IEEE International, pp Huiskamp, G. and Agirre-Arrizubieta, Z. (2009) Interictal ECoG spikes as reflected in MEG, in Engineering in Medicine and Biology Society, EMBC, Annual International Conference of the IEEE, pp Intel Labs (2010) SCC External Architecture Specification (EAS), Revision 1.1 [online] Technical report, Intel Corporation [online] y/ /scc_eas.pdf (accessed 13 March 2015). Intel Labs (2012a) SCC Platform Overview. Revision 0.80, Technical report, Intel Corporation [online] y/ /scc_platform_overview.pdf (accessed 13 March 2015). Intel Labs (2012b) The SCC Programmer s Guide. Revision 1.0, Technical report, Intel Corporation [online] y/ /sccprogrammersguide.pdf (accessed 13 March 2015). Jiruska, P., Powell, A.D., Chang, W-C. and Jefferys, J.G. (2010) Electrographic high-frequency activity and epilepsy, Epilepsy Research, Vol. 89, No. 1, pp Lam, Y.M., Tsoi, K.H. and Luk, W. (2013) Parallel neighbourhood search on many-core platforms, Int. J. Comput. Sci. Eng., Vol. 8, No. 3, pp Laros III, J.H., Pedretti, K., Kelly, S.M., Shu, W., Ferreira, K., Dyke, J.V. and Vaughan, C. (2013) Energy-efficient high performance computing, SpringerBriefs in Computer Science, Springer-Verlag, London, doi: / Moore, G.E. et al. (1998) Cramming more components onto integrated circuits, Proceedings of the IEEE, Vol. 86, No. 1, pp Morgan, T.P. (2010) IBM s enterprise 196 CPU: cache is king, The Register, 23 July [online] me_processor/ (accessed 13 March 2015). Smart, O., Worrell, G., Vachtsevanos, G. and Litt, B. (2005) Automatic detection of high frequency epileptiform oscillations from intracranial EEG recordings of patients with neocortical epilepsy, in Technical, Professional and Student Development Workshop, IEEE Region 5 and IEEE Denver Section, pp Spencer, S.S., Nguyen, D.K. and Duckrow, R.B. (2009) Invasive EEG in presurgical evaluation of epilepsy, The Treatment of Epilepsy, 3rd ed., pp Torres, G., Liu, C., Chang, J.K-T., Hua, F. and Schuckers, S. (2014) An auto-tuning assisted power-aware study of iris matching algorithm on Intel s SCC, Journal of Signal Processing Systems, Vol. 80, No. 3, pp Torres, G., McCall, P., Liu, C., Cabrerizo, M. and Adjouadi, M. (2012) Parallelizing Electroencephalogram processing on a many-core platform for the detection of high frequency oscillations, Workshop on Unique Chips and Systems UCAS-7, p.9. Valentini, G., Lassonde, W., Khan, S., Min-Allah, N., Madani, S., Li, J., Zhang, L., Wang, L., Ghani, N., Kolodziej, J., Li, H., Zomaya, A., Xu, C-Z., Balaji, P., Vishnu, A., Pinel, F., Pecero, J., Kliazovich, D. and Bouvry, P. (2013) An overview of energy efficiency techniques in cluster computing systems, Cluster Computing, Vol. 16, No. 1, pp Van der Wijngaart, R.F., Mattson, T.G. and Haas, W. (2011) Light-weight communications on Intel s single-chip cloud computer processor, SIGOPS Oper. Syst. Rev., Vol. 45, No. 1, pp Xu, X., Van Beek, E.J.R., Flaherty, K.R., Kazerooni, E.A. and Hoffman, E.A. (2010) Computer-aided diagnosis of radiographic patterns of lung disease via MDCT images, Int. J. Comput. Sci. Eng., Vol. 5, Nos. 3/4, pp

Spatial and Temporal Analysis of Interictal Activity in the Epileptic Brain

Spatial and Temporal Analysis of Interictal Activity in the Epileptic Brain Spatial and Temporal Analysis of Interictal Activity in the Epileptic Brain Paul McCall, Mercedes Cabrerizo, Malek Adjouadi Florida International University Department of ECE Miami, FL, USA Email: {pmcca,

More information

Toward a more accurate delimitation of the epileptic focus from a surgical perspective

Toward a more accurate delimitation of the epileptic focus from a surgical perspective Toward a more accurate delimitation of the epileptic focus from a surgical perspective Margitta Seeck Department of Clinical Neurosciences EEG & Epilepsy Unit University Hospital of Geneva Geneva, Switzerland

More information

EEG Analysis Using Neural Networks for Seizure Detection

EEG Analysis Using Neural Networks for Seizure Detection Proceedings of the 6th WSEAS International Conference on Signal Processing, Robotics and Automation, Corfu Island, Greece, February 16-19, 2007 121 EEG Analysis Using Neural Networks for Seizure Detection

More information

Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs

Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs 22nd Asia and South Pacific Design Automation Conference (ASP-DAC 2017) Special Session 4S: Invited Talk Design Considerations and Clinical Applications of Closed-Loop Neural Disorder Control SoCs Chung-Yu

More information

Intracranial Studies Of Human Epilepsy In A Surgical Setting

Intracranial Studies Of Human Epilepsy In A Surgical Setting Intracranial Studies Of Human Epilepsy In A Surgical Setting Department of Neurology David Geffen School of Medicine at UCLA Presentation Goals Epilepsy and seizures Basics of the electroencephalogram

More information

EMG, EEG, and Neurophysiology in Clinical Practice

EMG, EEG, and Neurophysiology in Clinical Practice Mayo School of Continuous Professional Development EMG, EEG, and Neurophysiology in Clinical Practice Matthew T. Hoerth, M.D. Ritz-Carlton, Amelia Island, Florida January 29-February 4, 2017 2016 MFMER

More information

Interictal High Frequency Oscillations as Neurophysiologic Biomarkers of Epileptogenicity

Interictal High Frequency Oscillations as Neurophysiologic Biomarkers of Epileptogenicity Interictal High Frequency Oscillations as Neurophysiologic Biomarkers of Epileptogenicity December 10, 2013 Joyce Y. Wu, MD Associate Professor Division of Pediatric Neurology David Geffen School of Medicine

More information

Design of the HRV Analysis System Based on AD8232

Design of the HRV Analysis System Based on AD8232 207 3rd International Symposium on Mechatronics and Industrial Informatics (ISMII 207) ISB: 978--60595-50-8 Design of the HRV Analysis System Based on AD8232 Xiaoqiang Ji,a, Chunyu ing,b, Chunhua Zhao

More information

Electroencephalography

Electroencephalography The electroencephalogram (EEG) is a measure of brain waves. It is a readily available test that provides evidence of how the brain functions over time. The EEG is used in the evaluation of brain disorders.

More information

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research Volume 2, Issue 6, June-2011 1 Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar Abstract In balancing

More information

Novel single trial movement classification based on temporal dynamics of EEG

Novel single trial movement classification based on temporal dynamics of EEG Novel single trial movement classification based on temporal dynamics of EEG Conference or Workshop Item Accepted Version Wairagkar, M., Daly, I., Hayashi, Y. and Nasuto, S. (2014) Novel single trial movement

More information

Unified Padring Design Flow

Unified Padring Design Flow 2013 First International Conference on Artificial Intelligence, Modelling & Simulation Unified Padring Design Flow Ang Boon Chong, Ho Kah Chun PMC-Sierra, Design Service, Penang,Malaysia Boonchong.ang@pmcs.com,

More information

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research, Volume 2, Issue 2, February-2011 1 Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar

More information

The Sonification of Human EEG and other Biomedical Data. Part 3

The Sonification of Human EEG and other Biomedical Data. Part 3 The Sonification of Human EEG and other Biomedical Data Part 3 The Human EEG A data source for the sonification of cerebral dynamics The Human EEG - Outline Electric brain signals Continuous recording

More information

Mike Davies Director, Neuromorphic Computing Lab Intel Labs

Mike Davies Director, Neuromorphic Computing Lab Intel Labs Mike Davies Director, Neuromorphic Computing Lab Intel Labs Loihi at a Glance Key Properties Integrated Memory + Compute Neuromorphic Architecture 128 neuromorphic cores supporting up to 128k neurons and

More information

Supplementary Figure S1: Histological analysis of kainate-treated animals

Supplementary Figure S1: Histological analysis of kainate-treated animals Supplementary Figure S1: Histological analysis of kainate-treated animals Nissl stained coronal or horizontal sections were made from kainate injected (right) and saline injected (left) animals at different

More information

ENVIRONMENTAL REINFORCEMENT LEARNING: A Real-time Learning Architecture for Primitive Behavior Refinement

ENVIRONMENTAL REINFORCEMENT LEARNING: A Real-time Learning Architecture for Primitive Behavior Refinement ENVIRONMENTAL REINFORCEMENT LEARNING: A Real-time Learning Architecture for Primitive Behavior Refinement TaeHoon Anthony Choi, Eunbin Augustine Yim, and Keith L. Doty Machine Intelligence Laboratory Department

More information

Efficient Feature Extraction and Classification Methods in Neural Interfaces

Efficient Feature Extraction and Classification Methods in Neural Interfaces Efficient Feature Extraction and Classification Methods in Neural Interfaces Azita Emami Professor of Electrical Engineering and Medical Engineering Next Generation Therapeutic Devices 2 Where We Started:

More information

Database of paroxysmal iceeg signals

Database of paroxysmal iceeg signals POSTER 2017, PRAGUE MAY 23 1 Database of paroxysmal iceeg signals Ing. Nikol Kopecká 1 1 Dept. of Circuit Theory, Czech Technical University, Technická 2, 166 27 Praha, Czech Republic kopecnik@fel.cvut.cz

More information

Efficient Feature Extraction and Classification Methods in Neural Interfaces

Efficient Feature Extraction and Classification Methods in Neural Interfaces Using a simple decision tree model, we introduce efficient hardware architectures to predict abnormal neurological states in various disorders. Efficient Feature Extraction and Classification Methods in

More information

EPILEPTIC SEIZURE DETECTION USING WAVELET TRANSFORM

EPILEPTIC SEIZURE DETECTION USING WAVELET TRANSFORM EPILEPTIC SEIZURE DETECTION USING WAVELET TRANSFORM Sneha R. Rathod 1, Chaitra B. 2, Dr. H.P.Rajani 3, Dr. Rajashri khanai 4 1 MTech VLSI Design and Embedded systems,dept of ECE, KLE Dr.MSSCET, Belagavi,

More information

An Edge-Device for Accurate Seizure Detection in the IoT

An Edge-Device for Accurate Seizure Detection in the IoT An Edge-Device for Accurate Seizure Detection in the IoT M. A. Sayeed 1, S. P. Mohanty 2, E. Kougianos 3, and H. Zaveri 4 University of North Texas, Denton, TX, USA. 1,2,3 Yale University, New Haven, CT,

More information

A micropower support vector machine based seizure detection architecture for embedded medical devices

A micropower support vector machine based seizure detection architecture for embedded medical devices A micropower support vector machine based seizure detection architecture for embedded medical devices The MIT Faculty has made this article openly available. Please share how this access benefits you.

More information

Restoring Communication and Mobility

Restoring Communication and Mobility Restoring Communication and Mobility What are they? Artificial devices connected to the body that substitute, restore or supplement a sensory, cognitive, or motive function of the nervous system that has

More information

Recap DVS. Reduce Frequency Only. Reduce Frequency and Voltage. Processor Sleeps when Idle. Processor Always On. Processor Sleeps when Idle

Recap DVS. Reduce Frequency Only. Reduce Frequency and Voltage. Processor Sleeps when Idle. Processor Always On. Processor Sleeps when Idle Energy Continued Recap DVS Reduce Frequency Only Reduce Frequency and Voltage Processor Sleeps when Idle Processor Always On Processor Sleeps when Idle Bad idea! Good idea! Should we do frequency scaling

More information

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar ULTRA-LOW-POWER LOW BIO-INSPIRED INSPIRED AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar Research Lab of Electronics Massachusetts Institute of Technology Electrical Engineering and Computer Science FOE Talk

More information

PRESS NOTES YORK INSTRUMENTS Last updated 2018

PRESS NOTES YORK INSTRUMENTS Last updated 2018 PRESS NOTES YORK INSTRUMENTS Last updated 2018 York Instruments: Company Profile York Instruments is a medical technology company set to radically transform the field of functional brain imaging. The company

More information

International Journal of Engineering Trends and Applications (IJETA) Volume 4 Issue 2, Mar-Apr 2017

International Journal of Engineering Trends and Applications (IJETA) Volume 4 Issue 2, Mar-Apr 2017 RESEARCH ARTICLE OPEN ACCESS Knowledge Based Brain Tumor Segmentation using Local Maxima and Local Minima T. Kalaiselvi [1], P. Sriramakrishnan [2] Department of Computer Science and Applications The Gandhigram

More information

Open Portable Platform for Hearing Aid Research

Open Portable Platform for Hearing Aid Research Open Portable Platform for Hearing Aid Research Hendrik Kayser Caslav Pavlovic, Volker Hohmann, Louis Wong, Tobias Herzke, S.R. Prakash, Paul Maanen, Zezhang Hou 03/03/2018 Digital hearing devices Medizinische

More information

AdvAnced TMS. Research with PowerMAG Products and Application Booklet

AdvAnced TMS. Research with PowerMAG Products and Application Booklet AdvAnced TMS Research with PowerMAG Products and Application Booklet Table of ConTenTs Introduction p. 04 Legend p. 06 Applications» navigated TMS p. 08» clinical Research p. 10» Multi-Modal TMS p. 12»

More information

An IoT-based Drug Delivery System for Refractory Epilepsy

An IoT-based Drug Delivery System for Refractory Epilepsy An IoT-based Drug Delivery System for Refractory Epilepsy M. A. Sayeed 1, S. P. Mohanty 2, E. Kougianos 3, and H. Zaveri 4 University of North Texas, Denton, TX, USA. 1,2,3 Yale University, New Haven,

More information

An Overview of BMIs. Luca Rossini. Workshop on Brain Machine Interfaces for Space Applications

An Overview of BMIs. Luca Rossini. Workshop on Brain Machine Interfaces for Space Applications An Overview of BMIs Luca Rossini Workshop on Brain Machine Interfaces for Space Applications European Space Research and Technology Centre, European Space Agency Noordvijk, 30 th November 2009 Definition

More information

Detection and Plotting Real Time Brain Waves

Detection and Plotting Real Time Brain Waves Detection and Plotting Real Time Brain Waves Prof. M. M. PAL ME (ESC(CS) Department Of Computer Engineering Suresh Deshmukh College Of Engineering, Wardha Abstract - The human brain, either is in the state

More information

Est-ce que l'eeg a toujours sa place en 2019?

Est-ce que l'eeg a toujours sa place en 2019? Est-ce que l'eeg a toujours sa place en 2019? Thomas Bast Epilepsy Center Kork, Germany Does EEG still play a role in 2019? What a question 7T-MRI, fmri, DTI, MEG, SISCOM, Of ieeg course! /HFO, Genetics

More information

A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY

A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY Gaurav Haramkar 1, Prof. Rohita P. Patil 2 and Renuka Andankar 3 1 Department of E&TC Engineering, SKNCOE, University of Pune, Pune,

More information

Functional Connectivity and the Neurophysics of EEG. Ramesh Srinivasan Department of Cognitive Sciences University of California, Irvine

Functional Connectivity and the Neurophysics of EEG. Ramesh Srinivasan Department of Cognitive Sciences University of California, Irvine Functional Connectivity and the Neurophysics of EEG Ramesh Srinivasan Department of Cognitive Sciences University of California, Irvine Outline Introduce the use of EEG coherence to assess functional connectivity

More information

Neuro-MEP-Micro EMG EP. 2-Channel Portable EMG and NCS System with a Built-in Miniature Dedicated Keyboard. EMG according to international standards

Neuro-MEP-Micro EMG EP. 2-Channel Portable EMG and NCS System with a Built-in Miniature Dedicated Keyboard. EMG according to international standards Neuro-MEP-Micro 2-Channel Portable EMG and NCS System with a Built-in Miniature Dedicated Keyboard EMG according to international standards Instant analysis of high-quality responses Over 50 EMG and EP

More information

Intrinsic Signal Optical Imaging

Intrinsic Signal Optical Imaging Intrinsic Signal Optical Imaging Introduction Intrinsic signal optical imaging (ISOI) is a technique used to map dynamics in single cells, brain slices and even and most importantly entire mammalian brains.

More information

Accepted Manuscript. Editorial. Responsive neurostimulation for epilepsy: more than stimulation. Jayant N. Acharya

Accepted Manuscript. Editorial. Responsive neurostimulation for epilepsy: more than stimulation. Jayant N. Acharya Accepted Manuscript Editorial Responsive neurostimulation for epilepsy: more than stimulation Jayant N. Acharya PII: S2467-981X(18)30022-2 DOI: https://doi.org/10.1016/j.cnp.2018.06.002 Reference: CNP

More information

Connectivity in epilepsy: Characterization of pathological networks on EEG, MEG and intracerebral EEG

Connectivity in epilepsy: Characterization of pathological networks on EEG, MEG and intracerebral EEG Connectivity in epilepsy: Characterization of pathological networks on EEG, MEG and intracerebral EEG Christian-G. Bénar Institut de Neurosciences des Systèmes, Marseille christian.benar@univ-amu.fr OHBM

More information

MODELING AN SMT LINE TO IMPROVE THROUGHPUT

MODELING AN SMT LINE TO IMPROVE THROUGHPUT As originally published in the SMTA Proceedings MODELING AN SMT LINE TO IMPROVE THROUGHPUT Gregory Vance Rockwell Automation, Inc. Mayfield Heights, OH, USA gjvance@ra.rockwell.com Todd Vick Universal

More information

Clinically Available Optical Topography System

Clinically Available Optical Topography System Clinically Available Optical Topography System Clinically Available Optical Topography System 18 Fumio Kawaguchi Noriyoshi Ichikawa Noriyuki Fujiwara Yûichi Yamashita Shingo Kawasaki OVERVIEW: Progress

More information

Multiscale Evidence of Multiscale Brain Communication

Multiscale Evidence of Multiscale Brain Communication Multiscale Evidence of Multiscale Brain Communication Scott Makeig Swartz Center for Computational Neuroscience Institute for Neural Computation University of California San Diego La Jolla CA Talk given

More information

AND9020/D. Adaptive Feedback Cancellation 3 from ON Semiconductor APPLICATION NOTE INTRODUCTION

AND9020/D. Adaptive Feedback Cancellation 3 from ON Semiconductor APPLICATION NOTE INTRODUCTION Adaptive Feedback Cancellation 3 from ON Semiconductor APPLICATION NOTE INTRODUCTION This information note describes the feedback cancellation feature provided in ON Semiconductor s latest digital hearing

More information

AVR Based Gesture Vocalizer Using Speech Synthesizer IC

AVR Based Gesture Vocalizer Using Speech Synthesizer IC AVR Based Gesture Vocalizer Using Speech Synthesizer IC Mr.M.V.N.R.P.kumar 1, Mr.Ashutosh Kumar 2, Ms. S.B.Arawandekar 3, Mr.A. A. Bhosale 4, Mr. R. L. Bhosale 5 Dept. Of E&TC, L.N.B.C.I.E.T. Raigaon,

More information

Neurostyle. Medical Innovation for Better Life

Neurostyle. Medical Innovation for Better Life Neurostyle Medical Innovation for Better Life Neurostyle Pte Ltd is a company dedicated to design, develop, manufacture and distribute neurological and neuromuscular medical devices. Strategically located

More information

Implementation of Spectral Maxima Sound processing for cochlear. implants by using Bark scale Frequency band partition

Implementation of Spectral Maxima Sound processing for cochlear. implants by using Bark scale Frequency band partition Implementation of Spectral Maxima Sound processing for cochlear implants by using Bark scale Frequency band partition Han xianhua 1 Nie Kaibao 1 1 Department of Information Science and Engineering, Shandong

More information

Spatial localisation of EEG dipoles in MRI using the International System anatomical references

Spatial localisation of EEG dipoles in MRI using the International System anatomical references Proc. of First Int'l Workshop on Image and Signal Processing and Analysis Spatial localisation of EEG dipoles in MRI using the 10-20 International System anatomical references J. Pascau a,b, M. Desco a,

More information

DETECTION OF EPILEPTIC SEIZURE SIGNALS USING FUZZY RULES BASED ON SELECTED FEATURES

DETECTION OF EPILEPTIC SEIZURE SIGNALS USING FUZZY RULES BASED ON SELECTED FEATURES e-issn 2455 1392 Volume 3 Issue 1, January 2017 pp. 22 28 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com DETECTION OF EPILEPTIC SEIZURE SIGNALS USING FUZZY RULES BASED ON SELECTED FEATURES

More information

Clinical Policy: Digital EEG Spike Analysis

Clinical Policy: Digital EEG Spike Analysis Clinical Policy: Reference Number: CP.MP.105 Last Review Date: 01/18 Coding Implications Revision Log See Important Reminder at the end of this policy for important regulatory and legal information. Description

More information

Connectivity Patterns of Interictal Epileptiform Discharges Using Coherence Analysis

Connectivity Patterns of Interictal Epileptiform Discharges Using Coherence Analysis Connectivity Patterns of Interictal Epileptiform Discharges Using Coherence Analysis Panuwat Janwattanapong 1, Mercedes Cabrerizo 1, Alberto Pinzon 2, Sergio Gonzalez-Arias 2,3, Armando Barreto 1, Jean

More information

Multi-modal Patient Cohort Identification from EEG Report and Signal Data

Multi-modal Patient Cohort Identification from EEG Report and Signal Data Multi-modal Patient Cohort Identification from EEG Report and Signal Data Travis R. Goodwin and Sanda M. Harabagiu The University of Texas at Dallas Human Language Technology Research Institute http://www.hlt.utdallas.edu

More information

QuantiPhi for RL78 and MICON Racing RL78

QuantiPhi for RL78 and MICON Racing RL78 QuantiPhi for RL78 and MICON Racing RL78 Description: Using cutting-edge model-based design tools, you will design a strategy for a Renesas MICON car, a miniature, autonomous electric vehicle. You will

More information

Towards natural human computer interaction in BCI

Towards natural human computer interaction in BCI Towards natural human computer interaction in BCI Ian Daly 1 (Student) and Slawomir J Nasuto 1 and Kevin Warwick 1 Abstract. BCI systems require correct classification of signals interpreted from the brain

More information

Re: ENSC 370 Project Gerbil Functional Specifications

Re: ENSC 370 Project Gerbil Functional Specifications Simon Fraser University Burnaby, BC V5A 1S6 trac-tech@sfu.ca February, 16, 1999 Dr. Andrew Rawicz School of Engineering Science Simon Fraser University Burnaby, BC V5A 1S6 Re: ENSC 370 Project Gerbil Functional

More information

ERA: Architectures for Inference

ERA: Architectures for Inference ERA: Architectures for Inference Dan Hammerstrom Electrical And Computer Engineering 7/28/09 1 Intelligent Computing In spite of the transistor bounty of Moore s law, there is a large class of problems

More information

A Method of Connectivity Analysis of Epileptiform Discharges during Epileptic Seizure

A Method of Connectivity Analysis of Epileptiform Discharges during Epileptic Seizure International Journal of Bioelectromagnetism Vol. 19, No.1, pp. 6-10, 2017 www.ijbem.org A Method of Connectivity Analysis of Epileptiform Discharges during Epileptic Seizure Hisashi Yoshida a, Yasuto

More information

Using Multi-electrode Array Recordings to detect unrecognized electrical events in epilepsy

Using Multi-electrode Array Recordings to detect unrecognized electrical events in epilepsy Using Multi-electrode Array Recordings to detect unrecognized electrical events in epilepsy December 1, 2012 Catherine Schevon, MD, PhD Columbia University New York, NY American Epilepsy Society Annual

More information

Research Perspectives in Clinical Neurophysiology

Research Perspectives in Clinical Neurophysiology Research Perspectives in Clinical Neurophysiology A position paper of the EC-IFCN (European Chapter of the International Federation of Clinical Neurophysiology) representing ~ 8000 Clinical Neurophysiologists

More information

Adaptive Mode Control: A Static-Power-Efficient Cache Design

Adaptive Mode Control: A Static-Power-Efficient Cache Design Adaptive Mode Control: A Static-Power-Efficient Cache Design Huiyang Zhou, Mark Toburen, Eric Rotenberg, Tom Conte Center for Embedded Systems Research (CESR) Department of Electrical & Computer Engineering

More information

EEG signal classification using Bayes and Naïve Bayes Classifiers and extracted features of Continuous Wavelet Transform

EEG signal classification using Bayes and Naïve Bayes Classifiers and extracted features of Continuous Wavelet Transform EEG signal classification using Bayes and Naïve Bayes Classifiers and extracted features of Continuous Wavelet Transform Reza Yaghoobi Karimoi*, Mohammad Ali Khalilzadeh, Ali Akbar Hossinezadeh, Azra Yaghoobi

More information

Neural Correlates of Human Cognitive Function:

Neural Correlates of Human Cognitive Function: Neural Correlates of Human Cognitive Function: A Comparison of Electrophysiological and Other Neuroimaging Approaches Leun J. Otten Institute of Cognitive Neuroscience & Department of Psychology University

More information

Competing Streams at the Cocktail Party

Competing Streams at the Cocktail Party Competing Streams at the Cocktail Party A Neural and Behavioral Study of Auditory Attention Jonathan Z. Simon Neuroscience and Cognitive Sciences / Biology / Electrical & Computer Engineering University

More information

Sleep-Wake Cycle I Brain Rhythms. Reading: BCP Chapter 19

Sleep-Wake Cycle I Brain Rhythms. Reading: BCP Chapter 19 Sleep-Wake Cycle I Brain Rhythms Reading: BCP Chapter 19 Brain Rhythms and Sleep Earth has a rhythmic environment. For example, day and night cycle back and forth, tides ebb and flow and temperature varies

More information

Epileptic seizure detection using linear prediction filter

Epileptic seizure detection using linear prediction filter 11 th International conference on Sciences and Techniques of Automatic control & computer engineering December 19-1, 010, Monastir, Tunisia Epileptic seizure detection using linear prediction filter Introduction:

More information

Classification of Epileptic Seizure Predictors in EEG

Classification of Epileptic Seizure Predictors in EEG Classification of Epileptic Seizure Predictors in EEG Problem: Epileptic seizures are still not fully understood in medicine. This is because there is a wide range of potential causes of epilepsy which

More information

Effects of Light Stimulus Frequency on Phase Characteristics of Brain Waves

Effects of Light Stimulus Frequency on Phase Characteristics of Brain Waves SICE Annual Conference 27 Sept. 17-2, 27, Kagawa University, Japan Effects of Light Stimulus Frequency on Phase Characteristics of Brain Waves Seiji Nishifuji 1, Kentaro Fujisaki 1 and Shogo Tanaka 1 1

More information

Feature Parameter Optimization for Seizure Detection/Prediction

Feature Parameter Optimization for Seizure Detection/Prediction Feature Parameter Optimization for Seizure Detection/Prediction R. Esteller* #, J. Echauz #, M. D Alessandro, G. Vachtsevanos and B. Litt,. # IntelliMedix, Atlanta, USA * Universidad Simón Bolívar, Caracas,

More information

Make a Smart IT & BT Devices for Future Warning for Heart Failure, Hypoglycemia, and Hyperglycemia Smart Watch. LP Lab LP Watch

Make a Smart IT & BT Devices for Future Warning for Heart Failure, Hypoglycemia, and Hyperglycemia Smart Watch. LP Lab LP Watch Index Technology Index Description Function of Hart attack Device Function of Hypoglycemia Device Abut Us Technology1 Technology2 Warning Sale Make a Smart IT & BT Devices for Future Warning for Heart

More information

EEG SPIKE CLASSIFICATION WITH TEMPLATE MATCHING ALGORITHM. Çamlık Caddesi No:44 Sarnıç Beldesi İZMİR 2 Elektrik ve Elektronik Müh.

EEG SPIKE CLASSIFICATION WITH TEMPLATE MATCHING ALGORITHM. Çamlık Caddesi No:44 Sarnıç Beldesi İZMİR 2 Elektrik ve Elektronik Müh. EEG SPIKE CLASSIFICATION WITH TEMPLATE MATCHING ALGORITHM Selim BÖLGEN 1 Gülden KÖKTÜRK 2 1 Pagetel Sistem Müh. San. Tic. Ltd. Şti. Çamlık Caddesi No:44 Sarnıç Beldesi İZMİR 2 Elektrik ve Elektronik Müh.

More information

Subject: Magnetoencephalography/Magnetic Source Imaging

Subject: Magnetoencephalography/Magnetic Source Imaging 01-95805-16 Original Effective Date: 09/01/01 Reviewed: 07/26/18 Revised: 08/15/18 Subject: Magnetoencephalography/Magnetic Source Imaging THIS MEDICAL COVERAGE GUIDELINE IS NOT AN AUTHORIZATION, CERTIFICATION,

More information

Electromyography II Laboratory (Hand Dynamometer Transducer)

Electromyography II Laboratory (Hand Dynamometer Transducer) (Hand Dynamometer Transducer) Introduction As described in the Electromyography I laboratory session, electromyography (EMG) is an electrical signal that can be recorded with electrodes placed on the surface

More information

Microphone Input LED Display T-shirt

Microphone Input LED Display T-shirt Microphone Input LED Display T-shirt Team 50 John Ryan Hamilton and Anthony Dust ECE 445 Project Proposal Spring 2017 TA: Yuchen He 1 Introduction 1.2 Objective According to the World Health Organization,

More information

Optimal preictal period in seizure prediction

Optimal preictal period in seizure prediction Optimal preictal period in seizure prediction Mojtaba Bandarabadi, Jalil Rasekhi, Cesar A. Teixeira, António Dourado CISUC/DEI, Center for Informatics and Systems of the University of Coimbra, Department

More information

Assessment of Reliability of Hamilton-Tompkins Algorithm to ECG Parameter Detection

Assessment of Reliability of Hamilton-Tompkins Algorithm to ECG Parameter Detection Proceedings of the 2012 International Conference on Industrial Engineering and Operations Management Istanbul, Turkey, July 3 6, 2012 Assessment of Reliability of Hamilton-Tompkins Algorithm to ECG Parameter

More information

Key Features. Product Overview

Key Features. Product Overview Second Generation IEEE 802.3at PSE Multi-Port Test Suite for the PowerSync Analyzer & Programmable Load Product Overview Key Features In-Depth System Testing of IEEE 802.3at PSE s Concurrently Analyze

More information

SOLUTIONS Homework #3. Introduction to Engineering in Medicine and Biology ECEN 1001 Due Tues. 9/30/03

SOLUTIONS Homework #3. Introduction to Engineering in Medicine and Biology ECEN 1001 Due Tues. 9/30/03 SOLUTIONS Homework #3 Introduction to Engineering in Medicine and Biology ECEN 1001 Due Tues. 9/30/03 Problem 1: a) Where in the cochlea would you say the process of "fourier decomposition" of the incoming

More information

EBCC Data Analysis Tool (EBCC DAT) Introduction

EBCC Data Analysis Tool (EBCC DAT) Introduction Instructor: Paul Wolfgang Faculty sponsor: Yuan Shi, Ph.D. Andrey Mavrichev CIS 4339 Project in Computer Science May 7, 2009 Research work was completed in collaboration with Michael Tobia, Kevin L. Brown,

More information

Comparative Analysis of Voltage Controlled Oscillator using CMOS

Comparative Analysis of Voltage Controlled Oscillator using CMOS Indian Journal of Science and Technology, Vol 9(14), DOI: 10.17485/ijst/2016/v9i14/84749, April 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Comparative Analysis of Voltage Controlled Oscillator

More information

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a 10.1149/05201.1079ecst The Electrochemical Society Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability Masanori Hashimoto a a Department of Information Systems Engineering,

More information

This presentation is the intellectual property of the author. Contact them for permission to reprint and/or distribute.

This presentation is the intellectual property of the author. Contact them for permission to reprint and/or distribute. Modified Combinatorial Nomenclature Montage, Review, and Analysis of High Density EEG Terrence D. Lagerlund, M.D., Ph.D. CP1208045-16 Disclosure Relevant financial relationships None Off-label/investigational

More information

GUIDANCE NOTE. Application of MEMS Ultrasonic Transducers to Flow Measurement.

GUIDANCE NOTE. Application of MEMS Ultrasonic Transducers to Flow Measurement. GUIDANCE NOTE Application of MEMS Ultrasonic Transducers www.tuvnel.com Application of MEMS Ultrasonic Transducers Introduction This Guidance Note provides a review of the key issues associated with the

More information

MORPHOLOGICAL CLUSTERING OF INTERICTAL EPILEPTIFORM DISCHARGES IN INTRACRANIAL ELECTROENCEPHALOGRAPHY. Abstract

MORPHOLOGICAL CLUSTERING OF INTERICTAL EPILEPTIFORM DISCHARGES IN INTRACRANIAL ELECTROENCEPHALOGRAPHY. Abstract MORPHOLOGICAL CLUSTERING OF INTERICTAL EPILEPTIFORM DISCHARGES IN INTRACRANIAL ELECTROENCEPHALOGRAPHY P. Vlk 1,2, R. Janca 1, R. Cmejla 1, P. Krsek 3, P. Marusic 3, P. Jiruska 2,3 1 Faculty of Electrical

More information

Psychology as a Science of Design in Engineering

Psychology as a Science of Design in Engineering Proceedings of the British Psychological Society (vol. 7, No. 2) and the Bulletin of the Scottish Branch of the British Psychological Society in 1999. Psychology as a Science of Design in Engineering Patrik

More information

Lecture 10: Efficient Design of Current-Starved VCO

Lecture 10: Efficient Design of Current-Starved VCO Lecture 10: Efficient Design of Current-Starved VCO CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors

More information

Design of Context-Aware Exercise Measurement SoC Based on Electromyogram and Electrocardiogram

Design of Context-Aware Exercise Measurement SoC Based on Electromyogram and Electrocardiogram Design of Context-Aware Exercise Measurement SoC Based on Electromyogram and Electrocardiogram Seongsoo Lee Abstract Exercise measurement is an important application of smart healthcare devices. Conventional

More information

DCNV RESEARCH TOOL: INVESTIGATION OF ANTICIPATORY BRAIN POTENTIALS

DCNV RESEARCH TOOL: INVESTIGATION OF ANTICIPATORY BRAIN POTENTIALS DCNV RESEARCH TOOL: INVESTIGATION OF ANTICIPATORY BRAIN POTENTIALS Roman Golubovski, Dipl-Eng J.Sandanski 116-3/24 91000 Skopje, Macedonia Phone: + 389 91 165 367, Fax: + 389 91 165 304 email: roman.golubovski@iname.com

More information

A Brain Computer Interface System For Auto Piloting Wheelchair

A Brain Computer Interface System For Auto Piloting Wheelchair A Brain Computer Interface System For Auto Piloting Wheelchair Reshmi G, N. Kumaravel & M. Sasikala Centre for Medical Electronics, Dept. of Electronics and Communication Engineering, College of Engineering,

More information

MSc Neuroimaging for Clinical & Cognitive Neuroscience

MSc Neuroimaging for Clinical & Cognitive Neuroscience MSc Neuroimaging for Clinical & Cognitive Neuroscience School of Psychological Sciences Faculty of Medical & Human Sciences Module Information *Please note that this is a sample guide to modules. The exact

More information

Measuring Focused Attention Using Fixation Inner-Density

Measuring Focused Attention Using Fixation Inner-Density Measuring Focused Attention Using Fixation Inner-Density Wen Liu, Mina Shojaeizadeh, Soussan Djamasbi, Andrew C. Trapp User Experience & Decision Making Research Laboratory, Worcester Polytechnic Institute

More information

Where experience connects with innovation

Where experience connects with innovation Where experience connects with innovation Gold Standard For sleep apnea detection and so much more Many thousands of satisfied users, all over the world NOX T3 IS A RESPIRATORY PORTABLE SLEEP RECORDER

More information

Brain Computer Interface. Mina Mikhail

Brain Computer Interface. Mina Mikhail Brain Computer Interface Mina Mikhail minamohebn@gmail.com Introduction Ways for controlling computers Keyboard Mouse Voice Gestures Ways for communicating with people Talking Writing Gestures Problem

More information

Fig. 1: Typical PLL System

Fig. 1: Typical PLL System Integrating The PLL System On A Chip by Dean Banerjee, National Semiconductor The ability to produce a set of many different frequencies is a critical need for virtually all wireless communication devices.

More information

Adaptive Feedback Cancellation for the RHYTHM R3920 from ON Semiconductor

Adaptive Feedback Cancellation for the RHYTHM R3920 from ON Semiconductor Adaptive Feedback Cancellation for the RHYTHM R3920 from ON Semiconductor This information note describes the feedback cancellation feature provided in in the latest digital hearing aid amplifiers for

More information

ANALYSIS OF BRAIN SIGNAL FOR THE DETECTION OF EPILEPTIC SEIZURE

ANALYSIS OF BRAIN SIGNAL FOR THE DETECTION OF EPILEPTIC SEIZURE ANALYSIS OF BRAIN SIGNAL FOR THE DETECTION OF EPILEPTIC SEIZURE Sumit Kumar Srivastava 1, Sharique Ahmed 2, Mohd Maroof Siddiqui 3 1,2,3 Department of EEE, Integral University ABSTRACT The electroencephalogram

More information

Dual channel photoplethysmography studies of cardio-vascular response to the body position changes

Dual channel photoplethysmography studies of cardio-vascular response to the body position changes Dual channel photoplethysmography studies of cardio-vascular response to the body position changes R. Erts, I. Kukulis, J. Spigulis, L. Kere University of Latvia, Raina Blvd. 9, Riga, LV-, Latvia Renars.erts@lu.lv

More information

Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop

Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop EUROCON 2007 The International Conference on Computer as a Tool Warsaw, September 9-12 Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop Emre Ayranci, Kenn Christensen,

More information

The Surgical Treatment of Epilepsy

The Surgical Treatment of Epilepsy The Surgical Treatment of Epilepsy Jeffrey S. Schweitzer, MD, PhD Kaiser Los Angeles Medical Center Division of Restorative Neurosurgery Ancient craniotomy When Cao started complaining about splitting

More information

PARAFAC: a powerful tool in EEG monitoring

PARAFAC: a powerful tool in EEG monitoring Katholieke Universiteit Leuven K.U.Leuven PARAFAC: a powerful tool in EEG monitoring Sabine Van Huffel Dept. Electrical Engineering ESAT-SCD SCD Katholieke Universiteit Leuven, Belgium 1 Contents Overview

More information

DIGITIZING HUMAN BRAIN: BLUE BRAIN PROJECT

DIGITIZING HUMAN BRAIN: BLUE BRAIN PROJECT DIGITIZING HUMAN BRAIN: BLUE BRAIN PROJECT Diwijesh 1, Ms. Pooja Khanna 2 1 M.tech CSE, Amity University 2 Associate Professor, ASET ABSTRACT Human brain is most complex and unique creation of nature which

More information