EUV. Scanner Optimization for DPT. Bob Socha. Immersion ASML. MC Escher. Slide 1

Size: px
Start display at page:

Download "EUV. Scanner Optimization for DPT. Bob Socha. Immersion ASML. MC Escher. Slide 1"

Transcription

1 Scanner Optimization for DPT Bob Socha ASML Double Patterning EUV Immersion MC Escher Slide 1

2 Outline SRAM gate DPT example Overlay, CDU, Resolution (Design) trade-off Spacer Challenges Conclusion / Slide 2

3 Enabling DPT DPT for the 22nm node (logic) and 32nm node (memory) is a necessary reality Overlay is critical DPT Vertical scaling (3D layout) Most cost effective DPT implementations will involve scanner optimization and design optimization Implementation of DPT for one layer (such as spacer at logic gate for CDU) has design effects of other layers (increased M1 2-D complexity) The customer that most effectively optimizes the design and scanner together will produce the most cost effective product Strong need developing for closer interaction between designers, fab, and scanner vendor. How much of this interaction knowledge can be done in the design library and in the place and route CAD tools. / Slide 3

4 Low k 1 : High Design to Wafer Integration Low k1 (<0.4) : Integration of design, mask and Lithography processes Design for Manufacturing DFM OPC & RET s: PSM, Scatterbars, CPL, DDL Verification Source- Mask optimization Application Specific tuning Application Specific Manufacturing Litho aware design constraints Design space Manufacturing space / Slide 4

5 Outline SRAM gate DPT example Overlay, CDU, Resolution (Design) trade-off Spacer Challenges Conclusion / Slide 5

6 Typical SRAM Gate Layer L+CDU SE w+cdu SE s+ov SE B+OV SE Pitch < 0.5λ/NA Unit Cell needed for Area Calculation A SE is a function of 3 variables The Area of the SRAM cell is the most widely used Metric to determine the shrink of the node As a result, the area must also be used to compare Litho-DPT to Spacer-DPT shrink capabilities. Comparison between Litho-DPT to Spacer- DPT based on 1-D geometries (1Dmetric) is not relevant R SE, OV SE and CD SE / Slide 6

7 Process Steps Required LELE Spacer / Slide 7

8 Double patterning require better and more lithography Litho exposure equipment parameter as percentage of CD Single exposure Litho double patterning Spacer double patterning CD 7% 3.5% 3% Overlay (depending on DFM) 20% 7% 7-20%* #mask steps # process steps relative to single exposure Application 2D, All 2D, All 1D, Mainly Memory * Depending on the amount of Design For Manufacturing effort / Slide 8

9 OVSE and CDUSE requirements for 35nm HP SRAM (shrink of the 50nm HP SE SRAM area by 50%) Litho-DPT Spacer-DPT Below 50% line is the area of interest OV SE must be less than 2.5nm for 50% shrink with Litho- DPT at CDU SE =3nm If current CDU SE =3nm and OV SE =5nm, a 56.2% shrink can be done with Litho-DPT If current CDU SE =3nm and OV SE =5nm, a 49.5% shrink can be done with Spacer-DPT / Slide 9

10 Outline SRAM gate DPT example Overlay, CDU, Resolution (Design) trade-off Spacer Challenges Conclusion / Slide 10

11 100 Cost of Ownership estimates for 32nm Challenges Litho Cost per Layer [$] Pattern split Process complexity CDU control Pattern split Process complexity Overlay & CDU Defect free mask / no pellicle Source power / resist sensitivity Further cost reduction opportunity? 0 193nm Spacer DPT 193nm Litho DPT EUV Fixed Operating Source Chemical CVD Etch Metrology Other Strip Reticle * Mask cost based on 5000 wafers / mask usage / Slide 11

12 Spacer process can be used for random structures Desired layout After spacer process applied / Slide 12

13 Spacer needs overlay friendly layout to enjoy overlay advantage from the self aligned process Areas surrounded by geometry formed by spacer are less sensitive to overlay errors. Areas not surrounded by geometry formed by spacer are more sensitive to overlay errors. Possible CD error or bridging can occur. Without design change, overlay is still critical for spacer when exposing a clear field mask! / Slide 13

14 Spacer with overlay friendly layout to enjoy overlay advantage from the self aligned process In areas not surrounded by geometry formed by spacer, the space width between patterns must increase. Design change to increase the space width between patterns may need tighter overlay for next layer. Design change to shift a pattern to increase space width may require verification of the electrical performance. With these design changes, the cell size may increase. / Slide 14

15 Spacer Challenges CoO is higher with Spacer DPT compared to LELE/LFLE DPT Spacer process integration/complexity increases cycle time Not all designs can benefit from Spacer DPT self-alignment Burdens the designer or makes design rules overly restrictive Industry not yet ready for Spacer friendly designs / Slide 15

Flexible Self-aligned Double Patterning Aware Detailed Routing with Prescribed Layout Planning

Flexible Self-aligned Double Patterning Aware Detailed Routing with Prescribed Layout Planning Flexible Self-aligned Double Patterning Aware Detailed Routing with Prescribed Layout Planning Jhih-Rong Gao and David Z. Pan ECE Dept. Univ. of Texas at Austin Supported in part by NSF, Oracle, and NSFC

More information

Defect Reduction Progress in Step and Flash Imprint Lithography

Defect Reduction Progress in Step and Flash Imprint Lithography Defect Reduction Progress in Step and Flash Imprint Lithography Kosta Selinidis, John G. Maltabes, Ian McMackin, Joseph Perez, Douglas J. Resnick, and S. V. Sreenivasan BACUS 2007 Introduction The purpose

More information

Interconnect Manufacturing Challenges for the Most Advanced Technology Nodes

Interconnect Manufacturing Challenges for the Most Advanced Technology Nodes Interconnect Manufacturing Challenges for the Most Advanced Technology Nodes Andrzej J. Strojwas Chief Technologist, PDF Solutions, Inc., San Jose, CA Keithley Professor of ECE, Carnegie Mellon University,

More information

Patterned Wafer Defect Density Analysis of Step and Flash Imprint Lithography

Patterned Wafer Defect Density Analysis of Step and Flash Imprint Lithography Patterned Wafer Defect Density Analysis of Step and Flash Imprint Lithography I. McMackin, W. Martin, J. Perez, K. Selinidis, J. Maltabes, F. Xu, D. Resnick, SV Sreenivasan EIPBN 2007 Introduction The

More information

Free-electron lasers: beyond EUV Lithography Insertion. Erik R. Hosler, Obert R. Wood II

Free-electron lasers: beyond EUV Lithography Insertion. Erik R. Hosler, Obert R. Wood II Free-electron lasers: beyond EUV Lithography Insertion Erik R. Hosler, Obert R. Wood II EUV Source for HVM State of the Art Laser-produced plasma source High-power CO 2 laser Sn droplet source Feedback

More information

E-beam Defect Inspection of EUV Masks and Wafers

E-beam Defect Inspection of EUV Masks and Wafers ** IBM @ Albany Nanotech, NY USA * Hermes-Microvision, San Jose CA USA *** IBM Microelectronics, Burlington VT USA E-beam Defect Inspection of EUV Masks and Wafers Scott Halle**, Fei Wang*, Ravi Bonam**,

More information

EUV resist material performance, progress and process improvements at imec

EUV resist material performance, progress and process improvements at imec EUV resist material performance, progress and process improvements at imec A.M. Goethals, A. Niroomand 1, K. Ban 2, K. Hosokawa, F. Van Roey, I. Pollentier, C. Jehoul, D. Van den Heuvel and K. Ronse IMEC,

More information

Defect Testing Using an Immersion Exposure System to Apply Immediate Pre-Exposure and Post-Exposure Water Soaks

Defect Testing Using an Immersion Exposure System to Apply Immediate Pre-Exposure and Post-Exposure Water Soaks Defect Testing Using an Immersion Exposure System to Apply Immediate Pre-Exposure and Post-Exposure Water Soaks Robert D. Watso, Thomas Laursen, Bill Pierson, Kevin D. Cummings, ASML 25 Corporate Circle,

More information

RDD Europe 2011 Workshop 4 May 2011

RDD Europe 2011 Workshop 4 May 2011 RDD Europe 2011 Workshop 4 May 2011 This file represents the slides presented on May 4, 2011 by 3M Drug Delivery Systems at the RDD Europe 2011 Conference in Berlin, Germany. Slides have been modified

More information

Improvement of Column Spacer Uniformity in a TFT LCD Panel

Improvement of Column Spacer Uniformity in a TFT LCD Panel Journal of the Korean Physical Society, Vol. 48, No. 2, February 2006, pp. 240 245 Improvement of Column Spacer Uniformity in a TFT LCD Panel Jung-Hyuk Cho and Jung-Min Sohn LCD Business, Samsung Electronics

More information

Calibration Standards

Calibration Standards T H I N F I L M Calibration Standards psi Standards Calibration Standards for the Semiconductor Industry S I M P L Y T H E B E S T PSI Standards Move into the future of calibration and ISO compliance with

More information

Upgrade plan of cerl for the POC as a first stage of the development on EUV-FEL high power light source

Upgrade plan of cerl for the POC as a first stage of the development on EUV-FEL high power light source Upgrade plan of cerl for the POC as a first stage of the development on EUV-FEL high power light source Hiroshi Kawata, Norio Nakamura, and Ryukou Kato, High Energy Accelerator Research Organization (KEK),

More information

Optical cochlear implant project

Optical cochlear implant project Optical cochlear implant project Dr.-Ing. Stefan Mohrdiek MNBS 2014 LAAS, Toulouse 21 & 22 October 2014 This project is supported by the EU Commission, the European Research Funding organization under

More information

SEMICON West Standards Meeting Schedule San Francisco Marriott Marquis and Moscone Center (North Hall), San Francisco, CA. Sunday, July 8, 2012

SEMICON West Standards Meeting Schedule San Francisco Marriott Marquis and Moscone Center (North Hall), San Francisco, CA. Sunday, July 8, 2012 and Moscone Center (North Hall), San Francisco, CA 1 1 1 Sunday, July 8, 2012 NARSC Regulations (SC) -17:30 Informal Working Session for ISC Regulations -1 (15) JA NA Regional Standards Committee (NARSC)

More information

SEMICON West Standards Meeting Schedule San Francisco Marriott Marquis and Moscone Center (North Hall), San Francisco, CA. Sunday, July 8, 2012

SEMICON West Standards Meeting Schedule San Francisco Marriott Marquis and Moscone Center (North Hall), San Francisco, CA. Sunday, July 8, 2012 and Moscone Center (North Hall), San Francisco, CA 1 1 1 Sunday, July 8, 2012 NARSC Regulations -1 Informal Working Session for ISC Regulations (SC) -1 (15) JA NA Regional Standards Committee (NARSC) 1-21:00

More information

Improved Inspection of Composite Wind Turbine Blades with Accessible Advanced Ultrasonic Phased Array Technology

Improved Inspection of Composite Wind Turbine Blades with Accessible Advanced Ultrasonic Phased Array Technology Improved Inspection of Composite Wind Turbine Blades with Accessible Advanced Ultrasonic Phased Array Technology André Lamarre Olympus Scientific Solutions Americas, Canada NDT of Composites an ASNT Topical

More information

Application of Time Reversal Technique for the Inspection of Composite Structures

Application of Time Reversal Technique for the Inspection of Composite Structures More info ab Application of Time Reversal Technique for the Inspection of Composite Structures Daniel RICHARD, Guy MAES ASNT NDT of Composites 2017 Quebec, 6-8 June 2017 NDT in Canada 2017 Conference (June

More information

Growth and Printability of Multilayer Phase Defects on EUV Mask Blanks

Growth and Printability of Multilayer Phase Defects on EUV Mask Blanks Growth and Printability of Multilayer Phase Defects on EUV Mask Blanks Ted Liang, Erdem Ultanir, Guojing Zhang, Seh-Jin Park Components Research Intel Corporation Erik Anderson, Eric Gullikson, Patrick

More information

Introduction. The goal of TRUS QA is to ensure your system can do all of this accurately.

Introduction. The goal of TRUS QA is to ensure your system can do all of this accurately. TRUS QA Workshop Introduction The goals of using TRUS in prostate brachytherapy Visualize the prostate Need the US to penetrate deeply enough Need sufficient grey scale resolution to be able to visualize

More information

PFL780 PCB Fault Locator

PFL780 PCB Fault Locator PFL780 PCB Fault Locator Successful Faultfinding on assembled PCBs Effective in Production & Manufacturing Proven in Service & Repair Ideal for CEMs making small to medium batches polarinstruments.com

More information

New Annex 15 Updated Requirements & Approach to Validation. Presented by Ashley Isbel 10 th August 2015

New Annex 15 Updated Requirements & Approach to Validation. Presented by Ashley Isbel 10 th August 2015 New Annex 15 Updated Requirements & Approach to Validation Presented by Ashley Isbel 10 th August 2015 EU GMP Guide Annex 15 Qualification & Validation 2001 Current version of Annex 15 published Nov 2012

More information

Effective Use of In-line Inspection Technologies to Support Pipeline Integrity Management

Effective Use of In-line Inspection Technologies to Support Pipeline Integrity Management Effective Use of In-line Inspection Technologies to Support Pipeline Integrity Management PPSA Annual Seminar Ardoe House Hotel and Spa, Aberdeen, UK 19th November 2014 INTRODUCTION MACAW Engineering has

More information

Healthcare for the Emerging Middle Class

Healthcare for the Emerging Middle Class Healthcare for the Emerging Middle Class Type 2 diabetes care design in India May 2014 1 When looking for healthcare opportunities in emerging markets, project teams on the ground are less influenced by

More information

Real Time 2D Ultrasound Camera Imaging: A Higher Resolution Option to Phased Array Bob Lasser Randy Scheib Imperium, Inc.

Real Time 2D Ultrasound Camera Imaging: A Higher Resolution Option to Phased Array Bob Lasser Randy Scheib Imperium, Inc. Real Time 2D Ultrasound Camera Imaging: A Higher Resolution Option to Phased Array Bob Lasser Randy Scheib Imperium, Inc. Beltsville Imperium Involved in NDT since 1996 Sole provider of UT camera technology

More information

Field Validation of Sub-Micron Defect Correlation with 1 Micron Particle Behavior in Undiluted POU CMP Slurry

Field Validation of Sub-Micron Defect Correlation with 1 Micron Particle Behavior in Undiluted POU CMP Slurry Field Validation of Sub-Micron Defect Correlation with 1 Micron Particle Behavior in Undiluted POU CMP Slurry Michael A. Fury, Ph.D. Director of Market Development MFury@VantageTechCorp.com Vantage Technology

More information

Ion Implant Applications to Enable Advances in Semiconductor Technologies

Ion Implant Applications to Enable Advances in Semiconductor Technologies Ion Implant Applications to Enable Advances in Semiconductor Technologies K.V. ( Vivek ) Rao, Ph.D. Applied Materials, Inc. NCCAVS Junction Technologies User Group Meeting October 20, 2017 Applied Materials

More information

APPLICATION AND DEPLOYMENT OF ADVANCED NDE TECHNIQUES IN HIGH PRESSURE VESSELS

APPLICATION AND DEPLOYMENT OF ADVANCED NDE TECHNIQUES IN HIGH PRESSURE VESSELS APPLICATION AND DEPLOYMENT OF ADVANCED NDE TECHNIQUES IN HIGH PRESSURE VESSELS Jeffrey P. Milligan, Daniel T. Peters, Structural Integrity Associates, Inc., USA Many advances in Non-Destructive Examination

More information

myaudiometer. It s familiar, and it s so much

myaudiometer. It s familiar, and it s so much Introducing the MADSEN Astera myaudiometer. It s familiar, and it s so much More 2 I m amazed that I can do so much more without changing the way I work. myaudiometer. It s familiar. Just more... Possibilities

More information

Heat Drain Device for Ultrasound Imaging Probes ESAOTE SPA

Heat Drain Device for Ultrasound Imaging Probes ESAOTE SPA Heat Drain Device for Ultrasound Imaging Probes ESAOTE SPA L. Spicci, G. Vigna TRANSDUCER STRUCTURE OVERVIEW Finished probe Complete transducer Silicon lens Filling resin INTRODUCTION Electrical driving

More information

The design and development of a force comparator standard machine to provide national traceability in force measurement to industry.

The design and development of a force comparator standard machine to provide national traceability in force measurement to industry. The design and development of a force comparator standard machine to provide national traceability in force measurement to industry. Speaker/ Author: S. Dlamini Co-author: C. Gouws National Metrology Institute

More information

MODELING AN SMT LINE TO IMPROVE THROUGHPUT

MODELING AN SMT LINE TO IMPROVE THROUGHPUT As originally published in the SMTA Proceedings MODELING AN SMT LINE TO IMPROVE THROUGHPUT Gregory Vance Rockwell Automation, Inc. Mayfield Heights, OH, USA gjvance@ra.rockwell.com Todd Vick Universal

More information

Observation of Swelling Behavior of ArF Resist during Development by using QCM Method (2)

Observation of Swelling Behavior of ArF Resist during Development by using QCM Method (2) Journal of Photopolymer Science and Technology Volume 25, Number 4 (2012) 467 2012CPST Observation of Swelling Behavior of ArF Resist during Development by using QCM Method (2) Many reports have discussed

More information

PdM-101: Introduction to Vibration and Detection Analysis

PdM-101: Introduction to Vibration and Detection Analysis PdM-101: Introduction to Vibration and Detection Analysis Abstract Vibration analysis is often the cornerstone of modern machinery reliability programs. In order for machinery reliability programs to be

More information

Mechanical Simulation of Probing on SMART POWER POA devices

Mechanical Simulation of Probing on SMART POWER POA devices Luca Cecchetto STMicroelectronics Mechanical Simulation of Probing on SMART POWER POA devices June 8 to 11, 2008 San Diego, CA USA Authors L. Cecchetto, L. Zullino, R. Vallauri, L. Cerati, A. Andreini

More information

User Case Production of titanium abutments with inlab MC X5. dentsplysirona.com March 2017

User Case Production of titanium abutments with inlab MC X5. dentsplysirona.com March 2017 User Case Production of titanium abutments with inlab MC X5 dentsplysirona.com March 2017 01 Custom titanium abutments made in your own laboratory As links between implants and superstructures, abutments

More information

Y FILMS DOSIMETR Nederland België / Belgique

Y FILMS DOSIMETR Nederland België / Belgique DOSIMETRY FILMS GAFCHROMIC Dosimetry Films The Self-developing Dosimetry Films that Allow You to Go Filmless Do away with the cost and headache of calibrating and maintaining a processor Do away with hazardous

More information

Digital Dentistry Solution

Digital Dentistry Solution Digital Dentistry Solution Digital Dentistry Solution 02 Digital Dentistry Solution CAD/CAM System Mill - Clinic Optimized for glass-ceramic High speed and steady quality in milling Simple and elegant

More information

MEASURING GRINDING PERFORMANCE IN UV RESIN SYSTEMS FOR PIGMENT PASTES AND CONCENTRATES

MEASURING GRINDING PERFORMANCE IN UV RESIN SYSTEMS FOR PIGMENT PASTES AND CONCENTRATES MEASURING GRINDING PERFORMANCE IN UV RESIN SYSTEMS FOR PIGMENT PASTES AND CONCENTRATES Paulo Roberto Vieira Junior, Marc Heylen, Paul Gevaert, Francis Bergiers, Luc DeWaele, Rosalyn Waldo, and Peter Yuan.

More information

SCOPE OF WORK TASK 1 BASE MAPPING

SCOPE OF WORK TASK 1 BASE MAPPING SCOPE OF WORK TASK 1 BASE MAPPING Kittelson & Associates, Inc. (KAI) data collection and mapping efforts will be seamlessly linked to the analysis performed in the subsequent tasks. The KAI team will conduct

More information

Fundamental aspects of sensitivity enhancement and RLS trade-off of chemically amplified EUV resist

Fundamental aspects of sensitivity enhancement and RLS trade-off of chemically amplified EUV resist Fundamental aspects of sensitivity enhancement and RLS trade-off of chemically amplified EUV resist Seiichi Tagawa Graduate School of Engineering and The Institute of Scientific and Industrial Research

More information

3D TSV Cu Pillar Probing Challenges & Experience

3D TSV Cu Pillar Probing Challenges & Experience 3D TSV Cu Pillar Probing Challenges & Experience Ray Grimm/Mohamed Hegazy SV TCL An SV Probe Company Linjianjun (David) Hi Silicon Rick Chen SPIL The Challenges 2 Cu Pillar Bump Reliability Shear Test

More information

Prognostics and Health Management (PHM) in Semiconductor Manufacturing

Prognostics and Health Management (PHM) in Semiconductor Manufacturing Accelerating Manufacturing Productivity Prognostics and Health Management (PHM) in Semiconductor Manufacturing SEMATECH Japan Symposium, June 22 211 David Stark david.stark@ismi.sematech.org 512-373-5116

More information

SKELETAL MUSCLE CHARACTERISTICS

SKELETAL MUSCLE CHARACTERISTICS THE MUSCULAR SYSTEM SKELETAL MUSCLE CHARACTERISTICS Most are attached by tendons to bones Cells are multinucleate Striated have visible banding Voluntary subject to conscious control Cells are surrounded

More information

Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor

Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor Rupesh S. Shelar Low Power IA Group Intel Corporation, Austin, TX December 13 th 2011 UT ICS/IEEE Seminar, UT Austin

More information

Field Validation of Sub-Micron Defect Correlation with 1 Micron Particle Behavior in Undiluted POU CMP Slurry

Field Validation of Sub-Micron Defect Correlation with 1 Micron Particle Behavior in Undiluted POU CMP Slurry Field Validation of Sub-Micron Defect Correlation with 1 Micron Particle Behavior in Undiluted POU CMP Slurry Michael A. Fury, Ph.D. Director of Market Development MFury@VantageTechCorp.com Vantage Technology

More information

Enter into the world of unprecedented accuracy! IScan D104 Series

Enter into the world of unprecedented accuracy! IScan D104 Series Enter into the world of unprecedented accuracy! IScan D104 Series 2 Three scanners, one goal: Precisely fitting restorations The D104 Series of 3D scanning systems is the 5th generation of dental scanners

More information

FreeMotion 7.7 R Bike

FreeMotion 7.7 R Bike FreeMotion 7.7 R Bike 20 resistance levels Large high resolution LCD display Programs: pulse, manual, random, interval, quick start, foothills, peaks, all-terrain, custom, plateau Integrated speakers Integrated

More information

Lava. Scan ST Design System. The New World. of Integration

Lava. Scan ST Design System. The New World. of Integration Lava Scan ST Design System The New World of Integration A Journey That Starts with a Precise Scanner Full contour CAD/ CAM restoration Lava Zirconia restoration, porcelain work created with the Lava Digital

More information

EXACTRAC HIGHLY ACCURATE PATIENT MONITORING

EXACTRAC HIGHLY ACCURATE PATIENT MONITORING EXACTRAC HIGHLY ACCURATE PATIENT MONITORING PATIENT POSITION MONITORING ExacTrac is an in-room based monitoring system that detects intrafractional motion during treatment delivery. Two kv X-Ray units

More information

Lecture 180 CMOS Technology (10/20/01) Page 180-1

Lecture 180 CMOS Technology (10/20/01) Page 180-1 Lecture 180 CMOS Technology (10/20/01) Page 180-1 LECTURE 180 CMOS TECHNOLOGY (READING: Text-Sec. 2.8) INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence

More information

biij Initial experience in treating lung cancer with helical tomotherapy

biij Initial experience in treating lung cancer with helical tomotherapy Available online at http://www.biij.org/2007/1/e2 doi: 10.2349/biij.3.1.e2 biij Biomedical Imaging and Intervention Journal CASE REPORT Initial experience in treating lung cancer with helical tomotherapy

More information

Lecture 10: Efficient Design of Current-Starved VCO

Lecture 10: Efficient Design of Current-Starved VCO Lecture 10: Efficient Design of Current-Starved VCO CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors

More information

Infrastructure for Rapid Assessment of Reliability

Infrastructure for Rapid Assessment of Reliability Infrastructure for Rapid Assessment of Reliability Infrastructure and process improvements in the reliability testing of a high density microelectronic packaging technology Hannah Varner Draper Outline

More information

New Information Object Definitions for DICONDE

New Information Object Definitions for DICONDE 4th European-American Workshop on Reliability of NDE - Th.5.B.4 New Information Object Definitions for DICONDE M. JOBST, GE Sensing & Inspection Technologies, Hürth, Germany; J. HANSEN, GE Sensing & Inspection

More information

Digital mammography imaging from Carestream Health solutions for great workflow, productivity, and patient care.

Digital mammography imaging from Carestream Health solutions for great workflow, productivity, and patient care. Digital Mammography Imaging on KODAK CR Systems Digital mammography imaging from Carestream Health solutions for great workflow, productivity, and patient care. Commercial distribution of the CR Mammography

More information

Les Outils Cliniques de Demain en Scanner Cardiaque. Cardiaque Status en ECR 2018 From Diagnosis to Prognosis

Les Outils Cliniques de Demain en Scanner Cardiaque. Cardiaque Status en ECR 2018 From Diagnosis to Prognosis ECR 2018 From Diagnosis to Prognosis ECR 2018 From Diagnosis to Prognosis Thursday, March 1, 2018/08:30-10:00/Room N Les Outils Cliniques de Demain en Scanner Cardiaque Cardiaque Status en 2018 Rodrigo

More information

Characterization of Acoustic Cavitation from a Megasonic Nozzle Transducer for Photomask Cleaning

Characterization of Acoustic Cavitation from a Megasonic Nozzle Transducer for Photomask Cleaning Putting Confidence in Ultrasound Characterization of Acoustic Cavitation from a Megasonic Nozzle Transducer for Photomask Cleaning Nicolas Candia (1), Claudio Zanelli (2), Johann Brunner (3), Joachim Straka

More information

Cirrus TM HD-OCT. Details defi ne your decisions

Cirrus TM HD-OCT. Details defi ne your decisions Cirrus TM HD-OCT Details defi ne your decisions 2 With high-defi nition OCT Carl Zeiss Meditec takes you beyond standard spectral domain Built on 10 years experience at the vanguard of innovation, Carl

More information

ACOUSTORAPHY Acousto-Optical (AO) Ultrasonics & Its Applications

ACOUSTORAPHY Acousto-Optical (AO) Ultrasonics & Its Applications ACOUSTORAPHY Acousto-Optical (AO) Ultrasonics & Its Applications International Workshop on Imaging NDE April 2007 IGCAR, kalpakkam, India Dr D S Dulay NDT Consultants Limited Middlemarch House, Siskin

More information

What s NEW in Version 4.6

What s NEW in Version 4.6 What s NEW in Version 4.6 Dear ECO Community Members, Everyone at E4D is excited to bring you the latest version of our E4D Dentist system software. This version 4.6 software update is a direct response

More information

The Lava System Perfectly Integrated for High-Precision Digital Dentistry

The Lava System Perfectly Integrated for High-Precision Digital Dentistry The Lava System Perfectly Integrated for High-Precision Digital Dentistry Lava Design 7 Software Lava Scan ST Scanner Lava Scan ST Scanner Unprecedented Precision, Speed and Versatility The new Lava Scan

More information

Digital Micromirror Device Reliability and Failure Mechanisms

Digital Micromirror Device Reliability and Failure Mechanisms Digital Micromirror Device Reliability and Failure Mechanisms Michael R. Douglass Distinguished Member of the Technical Staff Texas Instruments Plano, Texas TRC: Oct 25-27, 2004 DMD Reliability and Failure

More information

SOCT Copernicus REVO. * - Currently import and overlay are avaibale in manual mode only

SOCT Copernicus REVO. * - Currently import and overlay are avaibale in manual mode only SOCT Copernicus REVO Easy Operation (Full auto & Auto mode) Auto alignment (Z-position, C-gate, Focus, Tomogram) Voice guide (support patient through examination) Powerful analysis tools Enhanced tomograms

More information

A revolutionary breakthrough in diagnostic quality and speed

A revolutionary breakthrough in diagnostic quality and speed A revolutionary breakthrough in diagnostic quality and speed A revolutionary breakthrough in diagnostic quality and speed The new Philips Ingenia Elition X solution offers cutting-edge MR imaging techniques,

More information

Plasma-etching of AlGaAs DBR Structure using Panasonic ICP Etcher with (Cl2, N2) based chemistry

Plasma-etching of AlGaAs DBR Structure using Panasonic ICP Etcher with (Cl2, N2) based chemistry ningcao Page 1 10/2/2013 Plasma-etching of AlGaAs DBR Structure using Panasonic ICP Etcher with (Cl2, N2) based chemistry Purpose: To etch AlGaAs and AlAs/GaAs DBR structures with a vertical side-wall

More information

In the Thick of Multilayer ARCs

In the Thick of Multilayer ARCs Film M E T RProcess O L O G Y Control In the Thick of Multilayer ARCs Leonard J. Olmer, Robert W. Bradshaw, and Robert Morgan Young, Agere Systems Arun Srivatsa, KLA-Tencor Corporation A robust multilayer

More information

IMRT FOR CRANIOSPINAL IRRADIATION: CHALLENGES AND RESULTS. A. Miller, L. Kasulaitytė Institute of Oncolygy, Vilnius University

IMRT FOR CRANIOSPINAL IRRADIATION: CHALLENGES AND RESULTS. A. Miller, L. Kasulaitytė Institute of Oncolygy, Vilnius University IMRT FOR CRANIOSPINAL IRRADIATION: CHALLENGES AND RESULTS A. Miller, L. Kasulaitytė Institute of Oncolygy, Vilnius University Content 1.Introduction 2.Methods and materials 3.Results and discussion 4.Conclusion

More information

Diffusers Beam Splitters Refractive Microlens Arrays

Diffusers Beam Splitters Refractive Microlens Arrays Stock Products Diffusers Beam Splitters Refractive Microlens Arrays For Custom Designs, Prototypes, or Production Quantities Contact Us Directly: MEMS Optical, Inc. 205 Import Circle Huntsville, AL 35806

More information

Joint Geometry Indications in Conventional Ultrasonic Tests and Phased Array Tests

Joint Geometry Indications in Conventional Ultrasonic Tests and Phased Array Tests Rafał Kaczmarek Joint Geometry Indications in Conventional Ultrasonic Tests and Phased Array Tests Abstract: The article presents issues concerning the formation of root geometry indications in conventional

More information

Cirrus TM HD-OCT. Details define your decisions

Cirrus TM HD-OCT. Details define your decisions Cirrus TM HD-OCT Details define your decisions 2 With high-definition OCT Carl Zeiss Meditec takes you beyond standard spectral domain Built on 10 years experience at the vanguard of innovation, Carl Zeiss

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2015 Supplementary Information Comprehensive biosensor integrated with ZnO nanorods FETs array for selective

More information

Norfolk Public Schools: Back Safety in the Workplace. By: Arianne Conley RN, BSN

Norfolk Public Schools: Back Safety in the Workplace. By: Arianne Conley RN, BSN Norfolk Public Schools: Back Safety in the Workplace By: Arianne Conley RN, BSN arianneconley@yahoo.com Back Safety & Lifting Training Objectives: Risk Factors - Occupational Back Injury Prevention Principles

More information

Anomaly Assessment and Repair Panel

Anomaly Assessment and Repair Panel Anomaly Assessment and Repair Panel Tool Tolerances October 22 nd, 2008 Stephen Westwood Manager New Product Development BJ Pipeline Inspection Services Axial Magnetic Flux Leakage Leakage Flux Pipe Wall

More information

You design, we create.

You design, we create. CAD/CAM SYSTEMS INSTRUMENTS HYGIENE SYSTEMS TREATMENT CENTRES IMAGING SYSTEMS infinident SIRONA S CENTRAL PRODUCTION You design, we create. www.infinident.gb.com T h e D e n t a l C o m p a n y infinident:

More information

Research on Digital Testing System of Evaluating Characteristics for Ultrasonic Transducer

Research on Digital Testing System of Evaluating Characteristics for Ultrasonic Transducer Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Research on Digital Testing System of Evaluating Characteristics for Ultrasonic Transducer Qin Yin, * Liang Heng, Peng-Fei

More information

Asthma Management Policy

Asthma Management Policy Asthma Management Policy Asthma is a chronic health condition, which is one of the most common reasons for childhood admission to hospital. Correct asthma management will assist to minimise the impact

More information

Ultrasonic Phased Array Inspection Technique Development Tools

Ultrasonic Phased Array Inspection Technique Development Tools NDT.net - www.ndt.net - Document Information: www.ndt.net/search/docs.php3?id=4800 Ultrasonic Phased Array Inspection Technique Development Tools David Cziraki, Philippe Cyr Eclipse Scientific, Waterloo,

More information

Surface Roughness Investigation of 157nm and 193nm Polymer Platforms using different Etch Conditions

Surface Roughness Investigation of 157nm and 193nm Polymer Platforms using different Etch Conditions Surface Roughness Investigation of 157nm and 193nm Polymer Platforms using different Etch Conditions, Nicole Heckmann, Michael Sebald, Matthias Markert, Nickolay Stepanenko Infineon Technologies AG, Memory

More information

3D Printers for Dentistry Repeatable precision for quality assurance and patient safety.

3D Printers for Dentistry Repeatable precision for quality assurance and patient safety. 3D Printers for Dentistry Repeatable precision for quality assurance and patient safety. Copyright Asiga Pty Ltd. All rights reserved. Specifications subject to change without notice. Asiga and the Asiga

More information

Xstress 3000 G3/G3R. X-ray Stress Analyzer. Portable X-ray diffractometer specially designed for residual stress and retained austenite measurements

Xstress 3000 G3/G3R. X-ray Stress Analyzer. Portable X-ray diffractometer specially designed for residual stress and retained austenite measurements Xstress 3000 G3/G3R X-ray Stress Analyzer Portable X-ray diffractometer specially designed for residual stress and retained austenite measurements Xstress 3000 G3 measures residual stresses and retained

More information

Supplementary Figure 1. Sample preparation schematic. First (Stage I), square islands of MoO 3 are prepared by either photolithography followed by

Supplementary Figure 1. Sample preparation schematic. First (Stage I), square islands of MoO 3 are prepared by either photolithography followed by Supplementary Figure 1. Sample preparation schematic. First (Stage I), square islands of MoO 3 are prepared by either photolithography followed by thermal evaporation and liftoff or by a process where

More information

Case Study. Complex Components Demand Innovative Ultrasound Solution

Case Study. Complex Components Demand Innovative Ultrasound Solution Complex Components Demand Innovative Ultrasound Solution Critical components in the aerospace and defense industries, such as engine housings, wings and control surfaces, undergo rigorous testing to ensure

More information

Distributed exclusively by.

Distributed exclusively by. Distributed exclusively by www.ortoped.ca The OMEGA Scanner 3D is the most versatile CAD/CAM technology for the prosthetic and orthotic industry Whether you provide prosthetic services, orthotic services,

More information

Business Proposal Summary

Business Proposal Summary Business Proposal Summary Best Massage Deal is the fastest growing therapeutic and wellness brand in Canada that offers a unique and proven business concept that offers unmatched therapeutic and wellness

More information

Biometric Authentication through Advanced Voice Recognition. Conference on Fraud in CyberSpace Washington, DC April 17, 1997

Biometric Authentication through Advanced Voice Recognition. Conference on Fraud in CyberSpace Washington, DC April 17, 1997 Biometric Authentication through Advanced Voice Recognition Conference on Fraud in CyberSpace Washington, DC April 17, 1997 J. F. Holzrichter and R. A. Al-Ayat Lawrence Livermore National Laboratory Livermore,

More information

Veridiam Tissue Arrayer

Veridiam Tissue Arrayer Veridiam Tissue Arrayer A MUST-HAVE INSTRUMENT FOR YOUR LAB! Veridiam Tissue Arrayer with patent-pending technologies allows you to create customized tissue microarrays using multiple tissue specimens

More information

Scan Bodies Main characteristics Titanium Bases

Scan Bodies Main characteristics Titanium Bases C A D / C A M C A T A L O G U E 2 0 1 8 D i g i t a l r e s t o r a t i o n P r o d u c t s Digital Dentistry is the art of designing, planning and producing aesthetic and precise dental restorations using

More information

The Heidelberg Ion Therapy (HIT) Accelerator Coming Into Operation. Presented at EPAC 2008, Genova D. Ondreka, GSI

The Heidelberg Ion Therapy (HIT) Accelerator Coming Into Operation. Presented at EPAC 2008, Genova D. Ondreka, GSI The Heidelberg Ion Therapy (HIT) Accelerator Coming Into Operation Presented at EPAC 2008, Genova D. Ondreka, GSI Introduction Heidelberg Ion Therapy Centre: Europe's first dedicated particle therapy facility

More information

Oncology Clinical Pathways: Making Treatment Decisions in the Era of Patient-Centered, Personalized Cancer Care June 12, 2017

Oncology Clinical Pathways: Making Treatment Decisions in the Era of Patient-Centered, Personalized Cancer Care June 12, 2017 Oncology Clinical Pathways: Making Treatment Decisions in the Era of Patient-Centered, Personalized Cancer Care June 12, 2017 Moderator: Daryl Pritchard, Ph.D. Vice President, Science Policy Personalized

More information

Therapy symposium Formal Radiation Therapy Safety Processes

Therapy symposium Formal Radiation Therapy Safety Processes Therapy symposium Formal Radiation Therapy Safety Processes M. Saiful Huq, PhD, FAAPM, FInstP, Professor and Director University of Pittsburgh Cancer Institute and UPMC CancerCenter Pittsburgh, Pennsylvania,

More information

Worldwide Nanotechnology Dental Implants-- Markets Reach $8.1 By 2015

Worldwide Nanotechnology Dental Implants-- Markets Reach $8.1 By 2015 Worldwide Nanotechnology Dental Implants-- Markets Reach $8.1 By 2015 LEXINGTON, Massachusetts (March 14, 2009) WinterGreen Research announces that it has a new study on Worldwide nanotechnology dental

More information

Descriptions of NDT Projects Fall 2004 October 31, 2004

Descriptions of NDT Projects Fall 2004 October 31, 2004 Descriptions of NDT Projects Fall 2004 October 31, 2004 Introduction There are two separate NDT labs in Magister: ULTRA for ultrasound and EDDY for eddy current. Both labs are equipped with mechanical

More information

2. The properties of near-surface defects in rails

2. The properties of near-surface defects in rails World Congress on Railway Research 2001 Oral Presentation No. 165 Eddy-current Detection of Head Checks on the Gauge Corners of Rails: Recent Results R. Krull, H. Hintze, M. Luke; DB AG, Research and Technology

More information

Albert Lisbona Medical Physics Department CLCC Nantes Atlantique a

Albert Lisbona Medical Physics Department CLCC Nantes Atlantique a The Equipment : safety, commissioning, re commissioning calibration : Equipements : sûreté, recette, modification calibration A medical physicist point of view. Albert Lisbona Medical Physics Department

More information

STEREOTACTIC DOSE VERIFICATION PHANTOM VERSATILE STEREOTACTIC QA PHANTOMS

STEREOTACTIC DOSE VERIFICATION PHANTOM VERSATILE STEREOTACTIC QA PHANTOMS PHANTOMS VERSATILE STEREOTACTIC QA For fast and accurate commissioning of Accuray CyberKnife treatment systems and patient specific dose verification plans STEREOTACTIC DOSE VERIFICATION PHANTOM Stereotactic

More information

Deep Compression and EIE: Efficient Inference Engine on Compressed Deep Neural Network

Deep Compression and EIE: Efficient Inference Engine on Compressed Deep Neural Network Deep Compression and EIE: Efficient Inference Engine on Deep Neural Network Song Han*, Xingyu Liu, Huizi Mao, Jing Pu, Ardavan Pedram, Mark Horowitz, Bill Dally Stanford University Our Prior Work: Deep

More information

Varian Edge Experience. Jinkoo Kim, Ph.D Henry Ford Health System

Varian Edge Experience. Jinkoo Kim, Ph.D Henry Ford Health System Varian Edge Experience Jinkoo Kim, Ph.D Henry Ford Health System Disclosures I participate in research funded by Varian Medical Systems. Outline of Presentation Review advanced imaging in Varian Edge Linear

More information

NEW YORK STATE DEPARTMENT OF HEALTH CLINICAL LABORATORY EVALUATION PROGRAM. Crosswalk of Proposed Revisions to Cytogenetics Standards

NEW YORK STATE DEPARTMENT OF HEALTH CLINICAL LABORATORY EVALUATION PROGRAM. Crosswalk of Proposed Revisions to Cytogenetics Standards 2014 Standard 2014 Guidance 2016 Standard 2016 Guidance Cytogenetics Standard 1 (CG S1) The laboratory shall request clinical information necessary for proper initiation of test procedures and interpretation

More information

Planning for Demand Response in PGE s IRP

Planning for Demand Response in PGE s IRP Planning for Demand Response in PGE s IRP Pacific Northwest Demand Response Project Meeting February 14, 2013 2012 Portland General Electric. All rights reserved. PGE Load Resource Balance: Energy 2 1

More information

TECHNICAL BULLETIN. Engine Start Module (ESM) Functional Test. Maxwell Technologies, Inc. June

TECHNICAL BULLETIN. Engine Start Module (ESM) Functional Test. Maxwell Technologies, Inc. June TECHNICAL BULLETIN (ESM) Functional Test Technologies, Inc. June 2015 Technologies, Inc. Global Headquarters 3888 Calle Fortunada San Diego, CA 92123 USA Phone: +1 (858) 503-3300 Fax: +1 (858) 503-3301

More information