Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations

Size: px
Start display at page:

Download "Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations"

Transcription

1 Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations Brent Bero and Jabulani Nyathi School of EECS Washington State University Pullman, WA (USA) Abstract- Interest in subthreshold design has increased due to the emergence of systems that require ultra-low power and the ever increasing leakage currents (now used to drive logic). Subthreshold sacrifices speed for power creating a clear divide between designing for high speed and ultra-low power. It might be beneficial to allow subthreshold circuits to operate in super-threshold, depending on processing needs. In this paper, the feasibility of optimizing device sizes for both subthreshold and above threshold operations is considered. In addition body biasing techniques that could facilitate bridging the speed gap are presented. Device sizing for circuits of the subthreshold region is examined with the view that these circuits could be optimized for subthreshold but also operate effectively in super-threshold. In an effort to attain optimal performance (speed-power), an operating region is identified in terms of the energy-delay product. To enhance the operating speed of both subthreshold and super-threshold circuits, a novel body biasing technique termed tunable body biasing (TBB), is introduced. This approach leads to increased operating frequencies particularly in subthreshold operation and shows no performance degradation at voltages above threshold, hence bridging of the speed gap. Post layout simulations of circuits ranging from simple to more complex ones enable for effective evaluation of optimal device sizing and identifying the optimal power-speed operational region. Simulations have been performed at a modest 180 nm technology node and circuits show optimal operating regions ranging from 0.5 to 1.1 V. Further more results indicate that the TBB approach for an inverter triples speed and has a 60 percent lower EDP while dissipating just 28 percent more energy than a traditionally biased approach (pmos bulk at and nmos bulk at Vss). I. INTRODUCTION Interest in subthreshold design has increased because of the emergence of systems that require ultra-low power. This approach sacrifices speed for power, utilizing leakage currents to drive logic gates [1]. Considerable work on limiting leakage currents has been done for super-threshold (above threshold) circuits [2], [3], [4] and there is a distinct separation between designing for ultra-low power and high speed. It is noted that embedded systems are the prime candidates for ultra-low power methods since they do not necessarily require fast operation. Examples include circuits for wearable devices, implantable medical technology, and sensor nodes. A majority of the work on subthreshold has focused only on the ultra-low power aspect of this region treating speed as a secondary metric. A number of body biasing techniques have been proposed for both the subthreshold and the superthreshold regions. The body biasing schemes include Dynamic Threshold Voltage MOSFET (DTMOS) [5], multithreshold CMOS (MTCMOS) [6], and swapped body biasing (SBB) [7] just to name a few. In the case of SBB technique the bulk of the nmos devices are tied to the power supply rail while those of the pmos devices are tied to ground, hence swapped biasing compared to the conventional configuration. Swapping the bulk terminals provides increased drive currents in the subthreshold operation, but degrades output node voltages when is greater than the threshold voltage. The DTMOS configuration on the other hand has the bulk terminals connected to the gate terminals of each device in a circuit. This approach is not scalable to the super-threshold region however and requires partitioned wells or specialized processes to realize. The MTCMOS technique is intended for super-threshold operation, mainly to limit leakage current. MTCMOS couples high and low threshold-voltage transistors to limit leakage current while the circuit is idle. Given the fact that most the configurations offer limited operation i.e. provide improved performance for a prescribed region, a body biasing technique that could offer improved performance in both subthreshold and above threshold regions is sought. The application space for systems using circuits that could offer ultra-low power, high-speed or an optimal speed-power trade-off is yet to be explored. Microprocessors, microcontrollers and a host of system on chip designs would benefit from such tunable digital design. Controlling the bulk terminals of CMOS devices offers improved performance and such circuits are explored in this paper with performance gains achieved under such configurations being reported. Controlling the devices' bulk terminals is done primarily to increase speed at subthreshold, but also to provide the capability to operate at full using the same circuits and maintaining the performance gains. To /06/$ IEEE. 221

2 span the subthreshold and super-threshold regions of operation a tunable body biasing (TBB) approach is proposed. The pmos bulks are driven by either Vss in subthreshold or - Vth,O in super-threshold, while nmos bulks are driven by in subthreshold or Vthno in superthreshold. Vth11o denotes the n-type device threshold voltage when the device's bulk and source are at ground. The TBB bulk control voltages are summarized in Table 1 and they allow for fluent operation at both subthreshold and superthreshold voltages. Fig. 1 depicts the different configurations described and also features the proposed tunable body biasing scheme. In this study the focus is on device sizing for the tunable body biased circuits that would enable for optimal operation in both subthreshold and super-threshold. TABLE I. VSS< <VthnO > Vtl.O TUNABLE BODY BIASING SCHEME'S BULK CONTROL VOLTAGES TBB MOS Bulk Control Signal (Vcontroi) pmos Device nmos Device Vss -Vth0 uut XL n oi-1 --ovpcontrol Vncontrol Vth.O (a) Traditional (b) SBB (c) TBB (d) DTMOS Figure 1. Body Biasing Techniques a) Traditional Body Biasing b) Swapped Body Biasing, c) Tunable Body Biasing and d) Dynamic Threshold CMOS Ideally the design is expected to offer ultra-low power when operating in subthreshold, high-speed when the power supply is higher than twice the threshold voltage as well as an optimal range with a good speed-power trade-off. All these operating regions are considered based on devices optimized for either subthreshold or super-threshold operation. Simulation results obtained from the inverter circuit are considered to be representative for many other logic circuits. Inverter simulations are relied upon to evaluate the tunable body biasing scheme under various input conditions. To analyze the behavior of a more complex system, an eight-bit linear feedback shift register (LFSR) is studied. Operating frequencies and energy dissipation in the various regions of operation using the different body biasing techniques are compared. In Section 2 a discussion of device sizing and operation in the subthreshold and super-threshold regions at discrete supply voltage levels is presented. Section 3 presents tunable bulk control circuits while Section 4 has plots that depict the optimal regions of operation for an inverter and the LFSR based on delay-energy trade-off and energy-delay product (EDP). Section 5 discusses the dynamic to static power ratio and some concluding remarks appear in Section 6. II. DEVICE SIZING FOR TUNABLE OPERATION A challenge in designing circuits to operate in both the super-threshold and subthreshold regions is determining optimal device sizing. The devices optimally sized to provide symmetric switching in subthreshold must operate in superthreshold with minimal deviation in providing the rise time that is equal to the fall time for a given load. There have been two major contributors towards the study of optimal device sizing for subthreshold designs. In [8] it is suggested that when sizing devices for minimum energy in the subthreshold region, minimum device sizes are best. The analysis of [8] focuses on a reduction of switched capacitance, leakage current, and minimum operating voltage. Using minimum device sizes reduces all of the above parameters and provides an ultra-low energy optimization for subthreshold. There are penalties in terms of decreased switching speed and increased energy-delay product. Few, if any, minimum-sized gates exhibit symmetric switching in the subthreshold region because of the differences in mobility of the pmos and nmos devices. Results reported in [8] show 50 percent energy savings. A process level approach presented in [9] recommends eliminating halo and retrograde doping while designing the device with high-to-low doping profiles to improve subthreshold operation. In doing so, the devices are no longer optimized for super-threshold operation because of increased drain-induced barrier lowering and body punchthrough. Neither [8] nor [9] consider operating logic gates optimized for subthreshold in the super-threshold region. This would be ideal as it would allow for the same integrated circuit to be used as a high-speed device or low power device when necessary. This study attempts to bridge the ultra-low power-speed gap, enabling designs intended for subthreshold operations to be usable at power supply voltages above threshold and at high speed. It is therefore of great interest to optimize devices such that they can operate in both regions. At the very least, it is prudent to be aware of the trade-offs made when sizing logic circuits in the subthreshold region then operating with the same circuits in the super-threshold region or vice versa. Inverters are used to examine optimal device sizing at either region of operation. The conventional (traditional) inverter whose devices are configured such that the pmos' bulk terminal is at while that of the nmos is at ground is used as reference. The inverter is designed for symmetric switching at 1.8 V, resulting in a Wp to W11 ratio of 1100 nm to 300 nm. The second inverter has the bulk terminals controlled to be at certain voltage levels depending on the desired region of operation (ultra-low power, optimal power-speed trade-off or high speed). This is considered to be a tunable approach since it offers such flexibility based on the power supply voltage and the bulk terminal control 222

3 voltage. Symmetric switching for the TBB design at a power supply voltage of mv is achieved with devices sized to give a Wp to W11 ratio of 1000 nm to 300 nm with a fan-outof four (FO4) load. Ideally this inverter's power supply voltage when changed to 1.8 V, and with appropriate bulk terminal voltages, should allow symmetric switching to be achieved. Any deviations from the expected values should be negligibly small. The optimized traditional inverter's average propagation delay (Tpavg) is 128 ps at 1.8 V. If the traditional inverter has a power supply voltage of mv, Tpavg is three orders of magnitude slower (123.7 ns) and shows very little deviation in terms of symmetric switching. The tunable inverter switches an order of magnitude faster than the traditional inverter in subthreshold and 20 percent faster in super-threshold. Tpavg for the tunable inverter is ps at super-threshold and 11.6 ns at subthreshold. Thus, the same device gives switching speed gains at both subthreshold and super-threshold. The voltage transfer characteristic (VTC) curves of the traditional inverter are presented to provide a sense of the deviation under different power supply voltages. From Fig. 2 the inverter threshold (Vthi,V) at each power supply voltage (1.8 V, 1.0 V, mv and mv) as well as the deviation from the expected value of /2 can be determined. Results in Table II indicate a 5.6 percent variation at subthreshold. Thus, operating at either region has minimal effect on the 50 percent switching point. Operating further into the subthreshold region shows a 13.4 percent variation at Vth,o/2. These results show promise in that the inverter can be sized approximately the same in both regions for symmetric switching and maintain an acceptable variation at the 50 percent switching point. Devices optimally sized for symmetric switching in the subthreshold region show similar deviations in super-threshold leading to a conclusion that circuits optimized for ultra-low power can be used to design for high-speed. Also circuits designed for high-speed can be used to design for ultra-low power. This substantiates the approach taken in [10] where standard cell libraries are used to design circuits for subthreshold operations with minimal modification. TABLE II. 1.8 V 1.0 V mv mv RECORD OF INVERTER THRESHOLD VOLTAGES AT DIFFERENT POWER SUPPLY VOLTAGES Ideal Inverter Threshold Simulated Threshold Inverter ~Threshold 900 mv 500 mv mv mv 900 mv 498 mv mv mv Percent Variation 0.O% 0.4% 5.6% 13.4% BULK TERMINAL CONTROL CIRCUITS The capability to control the nmos and pmos device bulk terminals offers some increase in drive current and simple circuits to enable controlling the bulk CMOS wells are presented. The bulk terminals must be controlled appropriately depending on whether the circuit is intended to operate in ultra-low power, high-speed or at an optimal point where the power-speed trade-off is achieved. Bulk control circuits are designed using pass transistor logic and relying on the properties of this logic style i.e. capability to pass "good/poor" Is and Os. To select the appropriate voltage, multiplexers are used and a select signal is introduced enabling a user to select the desired region of operation. Circuit schematics and simulation waveforms are presented in Fig. 3 and 4. This approach uses two transistors per MOS bulk control circuit. Note that bulk control circuits are biased using the traditional approach. The bulk control circuits are scalable from one technology node to another. The bulk voltage level required will be determined primarily by the power supply voltage level and the designated threshold voltage of the technology node. In both Fig. 3 and 4 the signals labeled SubVt and SubVt b are the control signals that enable for selection of the bulk voltage connection. III. Vdd Vdd SubVt b pmos Bulk - ~1r Subvt nmos Bulk u T SubVt Figure 3. MOS Bulk Control Circuit Diagrams Figure 2. Voltage Transfer Characteristics of an Inverter sized for symmetric switching at above threshold and showing capability to switch optimally at subthreshold. Figure 4. Bulk Control Waveform with varying for a pmos Device 223

4 Fig. 4 shows traces for a power supply voltage that is initially set to mv then changed to mv. Since these are subthreshold values the bulks of the pmos devices are shown to remain at 0 V. When the power supply voltage is changed to 1 V and then to 1.8 V the bulk control voltage changes from 0 V to a voltage level that will always be -V,h,O. The nmos devices' bulks are driven to in subthreshold and Vthno when operating in super-threshold. IV. OPTIMAL REGIONS OF OPERATION Section II presented optimal device sizing to enable circuits to operate at different power supply voltages. As a result propagation delay and inverter threshold voltages were the metrics to pursue. The TBB approach forward biases the bulk terminals of the devices thereby increasing leakage currents. This is the desired effect particularly when the circuit is performing useful computations. The increased switching speed gained might affect energy dissipation adversely especially when the devices are expected to be OFF. There is a significant static current component under these conditions. It becomes important to determine how much additional power and energy is dissipated to achieve these speed gains. Authors of [11] question the validity of energy as a quality metric because it can be made arbitrarily low by reducing the supply voltage. Thus, subthreshold operation appears very attractive in terms of energy. It is argued that EDP is a more relevant metric because it combines a measure of speed and energy. Energy as a metric is not discarded in this study and analysis of the optimal region is based on the energy-delay tradeoff as well as the overall EDP. Through a series of experiments, an optimal supply voltage region is determined. This provides the ability to identify an optimal region of operation which saves considerable power while allowing medium speed. To provide a meaningful basis for comparison, delay versus energy, and EDP are computed for both traditionally biased and TBB inverters as well as an eight-bit linear feedback shift register (LFSR). TBB circuits favor highly active circuits like clock networks and data path circuits where idle periods are minimal, thus maximizing the ratio of utilized leakage current to wasted leakage current. Fig. 5 and 6 plot the delay versus energy trade-off and EDP. Delay versus energy trade-off of the TBB inverter follows the trend of the traditional inverter and is thus omitted. The results indicate that an optimal region exists between 500 and 700 mv for both approaches. In this region, a tunable inverter can operate over three times faster than the traditional inverter with a 60 percent smaller EDP at the cost of 28 percent more energy. By examining delay, energy, and EDP metrics across the range of possible supply voltages as shown in Fig. 5 and 6, a designer can choose how much speed they are willing to sacrifice in order to save energy. Surprisingly, the body bias scheme has a negligible effect on the optimal region of operation. Thus, for brevity, charts of the delay versus energy trade-off and EDP of the traditionally biased LFSR are omitted T Figure Supply VoHa.ge V Figure 6. Energ,v versus Delay Trade-off for a Traditional Inverter Supply Voftage (V EDP for a Traditional and Tunable Inverter Having established appropriate device sizing for optimal operation in both subthreshold and super-threshold it is instructive that simulations of a more complex circuit; the linear feedback shift register be performed. The LFSR studied in this paper is an 8-bit Fibonacci implementation with four taps. Fig. 7 shows a general Fibonacci LFSR block diagram with feedback in parallel. The interested reader is referred to [12] for details on LFSR design. Fig. 8 shows the delay versus energy trade-off of a TBB LFSR. The results indicate an optimal region exists between 0.5 and 1.1 V. In this region (at 550 mv), a TBB LFSR can operate over 3.8 times faster than the traditional LFSR with a 33 percent smaller EDP at the cost of 2.5 times more energy. Figure TBB Energy-Delay Product Fibonacci LFSR Block Diagram 224

5 Figure Supply Voltage(V ) Energy versus Delay Trade-off for a TBB 8-bit LFSR approach gives comparable results to sizing at subthreshold with TBB. An optimal region of operation, as determined by the energy-delay product, for an inverter was determined to be between 500 and 700 mv while the optimal region for an eight-bit LFSR was determined to be between 0.5 and 1. 1 V. A significant drawback of the TBB approach is the increase in static power. Circuit diagrams and waveforms showing the feasibility of the proposed tunable circuits were presented. The bulk terminals of the MOS devices were driven to the desired voltage levels using these simple control circuits. Future work will involve determining the substrate size that a control circuit can effectively drive. An in-depth study of the static power dissipation at more current technology nodes is underway. V. DYNAMIC TO STATIC CURRENT/POWER RATIO The proposition of a tunable body biasing approach has its drawbacks, for an example the increased leakage currents. It might be acceptable to have increased leakages if the speed of circuits is increased dramatically to offset the energy dissipation in the OFF state. Emphasis has been placed on the overall energy dissipation of devices and the energydelay product. These metrics have shown that TBB can provide a low-energy approach. In spite of this, it is important to know what impact idle circuits have on static current and power dissipation. With idle circuits, the activity factor is low, presumably zero. To limit static power, most designers put devices into "sleep" mode during extended periods of inactivity. In order to determine how badly leakage currents are increased with the TBB approach an inverter at the subthreshold and super-threshold regions is examined and results compared to those of a traditionally biased inverter. Total current for both approaches (traditionally biased and tunable circuits) at super-threshold and subthreshold regions of operation have been calculated in order to assess the impact of the static current. The traditionally biased circuit at peak performance in subthreshold dissipates an average of 193 pw per cycle. The static power constitutes 0.l1% of the total power under these conditions. When operating at 1.8 V the total power is 39.6 piw per cycle and the static power is negligible. The TBB circuit at peak performance in subthreshold dissipates an average of 1.43 nw per cycle and the static power contributes 25.2 percent towards this value. When operating at 1.8 V the peak power per cycle for the TBB is 34.9 piw and percent of this is the static dissipation. From these values, it can be determined that static current contributes significantly in the TBB approach at subthreshold operation. VI. CONCLUSION This paper presents work on device optimization for operation in the subthreshold and super-threshold regions. Results show that sizing devices for symmetric switching at super-threshold operation with a traditional body biasing REFERENCES [1] Soeleman, H., Roy, K. and Paul, B. C. "Robust Subthreshold Logic for Ultra-Low Power Operation," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 9, No 1, February 2001, pp [2] J. Kao, S. Narenda, and A. Chandrakasan, "Subthreshold Leakage Modeling and Reduction Techniques," IEEEIACM International Conference on Computer Aided Design (ICCAD), November 10-14, 2002, pp [3] S. Yang, W. Wolf, N. Vijaykrishnan, T. Xie and W. Wang, "Accurate Stacking Effect Macro-Modeling of Leakage Power in Sub-100 nm Circuits," 18th International Conference on VLSI Design, 2005, pp [4] C. Neau and K. Roy, "Optimal Body Bias Selection for Leakage Improvement and Process Compensation Over Different Technology Generations," Proceedings of the 2003 International Symposium on Low Power Electronics anddesign_25-27 Aug. 2003, pp [5] F. Assaderaghi et al., "Dynamic threshold-voltage MOSFET (DTMOS) for ultra-low voltage VLSI," IEEE Trans. Electron. Devices, vol. 44, pp , Mar [6] S. Mutoh et al, "1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS," J. Solid-State Circuits, vol. 30, pp , August [7] S. Narendra, J. Tschanz, J. Hofsheier, B. Bloechel, S. Vangal, Y. Hoskote, S. Tang, D. Somasekhar, A. Keshavarzi, V. Erraguntla, G. Dermer, N. Borkar, S. Borkar and V. De, "Ultra-Low Voltage Circuits and Processor in 180 nm to 90 nm Technologies with Swapped-Body Biasing Technique," 2004 IEEE International Solid- State Circuits Conference, Vol. 1, February 15-19, 2004,pp [8] B.H. Calhoun, A. Wang, and A. Chandrakasan, "Modeling and sizing for minimum energy operation in subthreshold circuits," IEEE Journal ofsolid-state Circuits, Vol. 40, No. 9, September 2005, pp [9] B.C. Paul, A. Raychowdhury,.and K. Roy, "Device optimization for digital subthreshold logic operation," IEEE Transactions on Electron Devices, Vol. 52, No. 2, February 2005, pp [10] B. H. Calhoun, A. Wang and A. Chandrakasan, "Device Sizing for Minimum Energy Operation in Subthreshold Circuits," IEEE 2004 Custom Integrated Circuits Conference, October 13-16, pp [11] J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits, A Design Perspective, Second Edition, Pearson Education, [12] M. Lowy, "Parallel implementation of linear feedback shift registers for low power applications," IEEE Transactions on Circuits and Systems II[ Analog and Digital Signal Processing, Vol. 43, No. 6, June 1996, pp

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research, Volume 2, Issue 2, February-2011 1 Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar

More information

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research Volume 2, Issue 6, June-2011 1 Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar Abstract In balancing

More information

Reduction of Subthreshold Leakage Current in MOS Transistors

Reduction of Subthreshold Leakage Current in MOS Transistors World Applied Sciences Journal 25 (3): 446-450, 2013 ISSN 1818-4952 IDOSI Publications, 2013 DOI: 10.5829/idosi.wasj.2013.25.03.797 Reduction of Subthreshold Leakage Current in MOS Transistors 1 2 3 4

More information

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a 10.1149/05201.1079ecst The Electrochemical Society Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability Masanori Hashimoto a a Department of Information Systems Engineering,

More information

Comparative Analysis of Voltage Controlled Oscillator using CMOS

Comparative Analysis of Voltage Controlled Oscillator using CMOS Indian Journal of Science and Technology, Vol 9(14), DOI: 10.17485/ijst/2016/v9i14/84749, April 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Comparative Analysis of Voltage Controlled Oscillator

More information

Design Methodology for Fine-Grained Leakage Control in MTCMOS

Design Methodology for Fine-Grained Leakage Control in MTCMOS Abstract Design Methodology for Fine-Grained Leakage Control in MTCMOS Benton H. Calhoun, Frank A. Honore, and Anantha Chandrakasan Massachusetts Institute of Technology, Cambridge, MA, 239 {bcalhoun,honore,anantha}@mtl.mit.edu

More information

A low supply voltage and wide-tuned CMOS Colpitts VCO

A low supply voltage and wide-tuned CMOS Colpitts VCO This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* A low supply voltage and wide-tuned CMOS Colpitts

More information

Texas A&M University Electrical Engineering Department. ELEN 665 RF Communication Circuits Laboratory Fall 2010

Texas A&M University Electrical Engineering Department. ELEN 665 RF Communication Circuits Laboratory Fall 2010 Texas A&M University Electrical Engineering Department ELEN 665 RF Communication Circuits Laboratory Fall 2010 Laboratory #6: Analysis and Simulation of a CMOS VCO Objectives: To learn the use of periodic

More information

Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay

Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay Georgia Institute of Technology, Atlanta, GA, 3332 jeremy.r.tolbert@gatech.edu,

More information

IN RECENT years, the demand for power-sensitive designs

IN RECENT years, the demand for power-sensitive designs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 11, NOVEMBER 2005 1213 Computing With Subthreshold Leakage: Device/Circuit/Architecture Co-Design for Ultralow-Power Subthreshold

More information

5. Low-Power OpAmps. Francesc Serra Graells

5. Low-Power OpAmps. Francesc Serra Graells Intro Subthreshold Class-AB Rail-to-Rail Inverter-Based 1/43 5. Low-Power OpAmps Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat

More information

Review Article Device and Circuit Design Challenges in the Digital Subthreshold Region for Ultralow-Power Applications

Review Article Device and Circuit Design Challenges in the Digital Subthreshold Region for Ultralow-Power Applications VLSI Design Volume 2009, Article ID 283702, 14 pages doi:10.1155/2009/283702 Review Article Device and Circuit Design Challenges in the Digital Subthreshold Region for Ultralow-Power Applications Ramesh

More information

Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications

Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications Journal of Electron Devices, Vol. 11, 2011, pp. 567-575 JED [ISSN: 1682-3427 ] Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications Subhra Dhar

More information

A Review on Ultra Low Power Design Technique: Subthreshold Logic

A Review on Ultra Low Power Design Technique: Subthreshold Logic IJCST Vo l. 4, Is s u e Sp l - 2, Ap r i l - Ju n e 2013 ISSN : 0976-8491 (Online) ISSN : 2229-4333 (Print) A Review on Ultra Low Power Design Technique: Subthreshold Logic 1 Priya Gupta, 2 Anu Gupta,

More information

Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University

Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University Designs of Low-Noise K-band K VCO Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University Introduction Outlines The proposed VCO architecture

More information

A BIST Scheme for Testing and Repair of Multi-Mode Power Switches

A BIST Scheme for Testing and Repair of Multi-Mode Power Switches A BIST Scheme for Testing and Repair of Multi-Mode Power Switches Zhaobo Zhang Dept. Electrical & Computer Eng. Duke University, USA Email: zz18@duke.edu Xrysovalantis Kavousianos, Yiorgos Tsiatouhas Dept.

More information

Adaptive Mode Control: A Static-Power-Efficient Cache Design

Adaptive Mode Control: A Static-Power-Efficient Cache Design Adaptive Mode Control: A Static-Power-Efficient Cache Design Huiyang Zhou, Mark Toburen, Eric Rotenberg, Tom Conte Center for Embedded Systems Research (CESR) Department of Electrical & Computer Engineering

More information

ULTRA LOW POWER SUBTHRESHOLD DEVICE DESIGN USING NEW ION IMPLANTATION PROFILE. A DISSERTATION IN Electrical and Computer Engineering and Physics

ULTRA LOW POWER SUBTHRESHOLD DEVICE DESIGN USING NEW ION IMPLANTATION PROFILE. A DISSERTATION IN Electrical and Computer Engineering and Physics ULTRA LOW POWER SUBTHRESHOLD DEVICE DESIGN USING NEW ION IMPLANTATION PROFILE A DISSERTATION IN Electrical and Computer Engineering and Physics Presented to the Faculty of the University of Missouri -

More information

Lecture 10: Efficient Design of Current-Starved VCO

Lecture 10: Efficient Design of Current-Starved VCO Lecture 10: Efficient Design of Current-Starved VCO CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors

More information

Implementation of a Multi bit VCO based Quantizer using Frequency to Digital Converter

Implementation of a Multi bit VCO based Quantizer using Frequency to Digital Converter International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 6 (2017) pp. 889-898 Research India Publications http://www.ripublication.com Implementation of a Multi bit VCO

More information

Multi-band LC VCO GHz PMCC_VCOMB12G

Multi-band LC VCO GHz PMCC_VCOMB12G Multi-band LC VCO 8-11.3GHz PMCC_VCOMB12G IP MACRO Datasheet Rev 1.2 Process: 65nm CMOS DESCRIPTION PMCC_VCOMB12G is a low noise multi-band differential LC voltage controlled oscillator (VCO). The IP block

More information

Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability

Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability S. Ghosh, S. Bhunia, and K. Roy School of Electrical and Computer Engineering, Purdue University, IN {ghosh3, bhunias, kaushik}@ecn.purdue.edu

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK. Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK. Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I Year/Sem:II / IV PART-A(2 MARKS) SEMICONDUCTORS AND RECTIFIERS 1. What

More information

Variation Aware Sleep Vector Selection in Dual Dynamic OR Circuits for Low Leakage Register File Design

Variation Aware Sleep Vector Selection in Dual Dynamic OR Circuits for Low Leakage Register File Design 1970 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 61, NO. 7, JULY 2014 Variation Aware Sleep Vector Selection in Dual Dynamic OR Circuits for Low Leakage Register File Design Na Gong,

More information

A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme

A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme Tae-Hyoung Kim, Jason Liu, John Keane, and Chris H. Kim University of Minnesota, Minneapolis Agenda

More information

A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY

A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY Gaurav Haramkar 1, Prof. Rohita P. Patil 2 and Renuka Andankar 3 1 Department of E&TC Engineering, SKNCOE, University of Pune, Pune,

More information

PHASE-LOCKED loops (PLLs) are one of the key building

PHASE-LOCKED loops (PLLs) are one of the key building IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 4, APRIL 2007 775 An On-chip Calibration Technique for Reducing Supply Voltage Sensitivity in Ring Oscillators Ting Wu, Member, IEEE, Kartikeya Mayaram,

More information

Application of Op-amp Fixators in Analog Circuits

Application of Op-amp Fixators in Analog Circuits Application of Op-amp Fixators in Analog Circuits R. Rohith Krishnan #1, S. Krishnakumar *2 # Department of Electronics, S.T.A.S, M.G. University Regional Centre Edappally, Kochi, Kerala, India 1 rohithpunnoor@gmail.com

More information

Silicon Amnesia and Dementia:

Silicon Amnesia and Dementia: Silicon Amnesia and Dementia: Radiation effects in microelectronics Dr. Robert Baumann TI and IEEE Fellow Technology Office Aerospace and Defense Products Robert Baumann Robert Baumann Slide 1/20 Texas

More information

Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop

Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop EUROCON 2007 The International Conference on Computer as a Tool Warsaw, September 9-12 Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop Emre Ayranci, Kenn Christensen,

More information

SUBTHRESHOLD CIRCUIT DESIGN AND OPTIMIZATION. Sungil Kim

SUBTHRESHOLD CIRCUIT DESIGN AND OPTIMIZATION. Sungil Kim SUBTHRESHOLD CIRCUIT DESIGN AND OPTIMIZATION Except where reference is made to the work of others, the work described in this thesis is my own or was done in collaboration with my advisor. This thesis

More information

TOTAL IONIZING DOSE TEST REPORT

TOTAL IONIZING DOSE TEST REPORT TOTAL IONIZING DOSE TEST REPORT No. 07T-RTAX2000S-D2S8N5 Sept. 5, 2007 J.J. Wang (650) 318-4576 jih-jong.wang@actel.com I. SUMMARY TABLE Parameter Tolerance 1. Functionality Passed 300 krad(sio 2 ) 2.

More information

DATE 2006 Session 5B: Timing and Noise Analysis

DATE 2006 Session 5B: Timing and Noise Analysis DATE 2006 Session 5B: Timing and Noise Analysis Bus Stuttering : An Encoding Technique To Reduce Inductive Noise In Off-Chip Data Transmission Authors: Brock J. LaMeres, Agilent Technologies Sunil P. Khatri,

More information

VLSI Design, Fall Design of Adders Design of Adders. Jacob Abraham

VLSI Design, Fall Design of Adders Design of Adders. Jacob Abraham 8. Design of Adders 1 8. Design of Adders Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 27, 2017 ECE Department, University

More information

Chapter VI Development of ISFET model. simulation

Chapter VI Development of ISFET model. simulation Chapter VI Development of ISFET model using ZnO as gate and its simulation Introduction Theory of ISFET Experimental work Results and discussion Conclusion 6.1 General: The human bodies ph and electrolyte

More information

Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor

Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor Rupesh S. Shelar Low Power IA Group Intel Corporation, Austin, TX December 13 th 2011 UT ICS/IEEE Seminar, UT Austin

More information

Neuromorhic Silicon Neuron and Synapse: Analog VLSI Implemetation of Biological Structures

Neuromorhic Silicon Neuron and Synapse: Analog VLSI Implemetation of Biological Structures RESEARCH ARTICLE OPEN ACCESS Neuromorhic Silicon Neuron and Synapse: Analog VLSI Implemetation of Biological Structures Ms. Pooja Verma*, Ms. Neha Verma** *(Associate Professor, Department of Electronics

More information

DOWNLOAD OR READ : TAKE CHARGE OF BIPOLAR DISORDER PDF EBOOK EPUB MOBI

DOWNLOAD OR READ : TAKE CHARGE OF BIPOLAR DISORDER PDF EBOOK EPUB MOBI DOWNLOAD OR READ : TAKE CHARGE OF BIPOLAR DISORDER PDF EBOOK EPUB MOBI Page 1 Page 2 take charge of bipolar disorder take charge of bipolar pdf take charge of bipolar disorder A bipolar junction transistor

More information

What is a stepper motor?

What is a stepper motor? What is a stepper motor? 1. A stepper motor (or step motor) is a brushless, synchronous electric motor that can divide a full rotation into a large number of steps. The motor's position can be controlled

More information

Verilog-AMS-PAM: Verilog-AMS integrated with Parasitic-Aware Metamodels for Ultra-Fast and Layout-Accurate Mixed- Signal Design Exploration

Verilog-AMS-PAM: Verilog-AMS integrated with Parasitic-Aware Metamodels for Ultra-Fast and Layout-Accurate Mixed- Signal Design Exploration Verilog-AMS-PAM: Verilog-AMS integrated with Parasitic-Aware Metamodels for Ultra-Fast and Layout-Accurate Mixed- Signal Design Exploration G. Zheng 1, S. P. Mohanty 2, E. Kougianos 3, and O. Garitselov

More information

Total Ionizing Dose Test Report. No. 16T-RT3PE3000L-CG896-QMLPK

Total Ionizing Dose Test Report. No. 16T-RT3PE3000L-CG896-QMLPK Total Ionizing Dose Test Report No. 16T-RT3PE3000L-CG896-QMLPK December 1, 2016 Table of Contents I. Summary Table... 3 II. Total Ionizing Dose (TID) Testing... 3 A. Device-Under-Test (DUT) and Irradiation

More information

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar ULTRA-LOW-POWER LOW BIO-INSPIRED INSPIRED AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar Research Lab of Electronics Massachusetts Institute of Technology Electrical Engineering and Computer Science FOE Talk

More information

An Energy Efficient Sensor for Thyroid Monitoring through the IoT

An Energy Efficient Sensor for Thyroid Monitoring through the IoT An Energy Efficient Sensor for Thyroid Monitoring through the IoT P. Sundaravadivel 1, S. P. Mohanty 2, E. Kougianos 3, U. Albalawi 4. NanoSystem Design Laboratory (NSDL, http://nsdl.cse.unt.edu) University

More information

TOTAL IONIZING DOSE TEST REPORT

TOTAL IONIZING DOSE TEST REPORT October 10, 2000 TOTAL IONIZING DOSE TEST REPORT No. 00T-RT14100-UCL082 J. J. Wang (408)522-4576 jih-jong.wang@actel.com I. SUMMARY TABLE Parameters Tolerance 1. Gross Functional > 20krad(Si), exact number

More information

HIGH-VOLTAGE SUPER-JUNCTION SOI-LDMOSFETS WITH REDUCED DRIFT LENGTH

HIGH-VOLTAGE SUPER-JUNCTION SOI-LDMOSFETS WITH REDUCED DRIFT LENGTH HIGH-VOLTAGE SUPER-JUNCTION SOI-LDMOSFETS WITH REDUCED DRIFT LENGTH J.M. Park, T. Grasser, and S. Selberherr Institute for Microelectronics, TU Vienna Gußhausstraße 27 29/E360, A 1040 Vienna, Austria Abstract.

More information

Standard Products ACT15530 CMOS Manchester Encoder / Decoder May 16, 2005

Standard Products ACT15530 CMOS Manchester Encoder / Decoder  May 16, 2005 Standard Products ACT15530 CMOS Manchester Encoder / Decoder www.aeroflex.com/avionics May 16, 2005 FEATURES MIL-STD-1553 Compatible 1.25 Megabit/sec Maximum Data Rate Sync Identification and Lock-in Clock

More information

Spiking Inputs to a Winner-take-all Network

Spiking Inputs to a Winner-take-all Network Spiking Inputs to a Winner-take-all Network Matthias Oster and Shih-Chii Liu Institute of Neuroinformatics University of Zurich and ETH Zurich Winterthurerstrasse 9 CH-857 Zurich, Switzerland {mao,shih}@ini.phys.ethz.ch

More information

Sign Language Interpretation Using Pseudo Glove

Sign Language Interpretation Using Pseudo Glove Sign Language Interpretation Using Pseudo Glove Mukul Singh Kushwah, Manish Sharma, Kunal Jain and Anish Chopra Abstract The research work presented in this paper explores the ways in which, people who

More information

Adaptive Feedback Cancellation for the RHYTHM R3920 from ON Semiconductor

Adaptive Feedback Cancellation for the RHYTHM R3920 from ON Semiconductor Adaptive Feedback Cancellation for the RHYTHM R3920 from ON Semiconductor This information note describes the feedback cancellation feature provided in in the latest digital hearing aid amplifiers for

More information

Current Testing Procedure for Deep Submicron Devices

Current Testing Procedure for Deep Submicron Devices Current Testing Procedure for Deep Submicron Devices Anton Chichkov Dirk Merlier Peter Cox anton.chichkov@mie.alcatel.be dirk.merlier@mie.alcatel.be peter.cox@mie.alcatel.be Alcatel Microelectronics Westerring

More information

Design and Implementation of Fine-grained Power Gating Control for Real Time OS

Design and Implementation of Fine-grained Power Gating Control for Real Time OS OS 1 1 1 1 1 2 3 4 1 (PG) OS PG PG OS PG PG PG OS 23% Design and Implementation of Fine-grained Power Gating Control for Real Time OS Shimada Yumi 1 Kobayashi Hiroaki 1 Takahashi Akihiro 1 Sakamoto Ryuiti

More information

PLL. The system blocks and their input and output signals are as follows:

PLL. The system blocks and their input and output signals are as follows: PLL This is the most complicated of the three optional labs - but is definitely the coolest system and if you can get it working, you should be able to learn a lot. The top level block diagram of the PLL

More information

Power Turn-On. IEEE 802.3af DTE Power via MDI March Dieter Knollman AVAYA

Power Turn-On. IEEE 802.3af DTE Power via MDI March Dieter Knollman AVAYA Power Turn-On IEEE 802.3af DTE Power via MDI March 2001 Dieter Knollman AVAYA djhk@netzero.net 1 Outline Simulate Power in PSE and PD Switches Switch Junction Temperature Examine PSE Current Limiting Options

More information

Post-Synthesis Sleep Transistor Insertion for Leakage Power Optimization in Clock Tree Networks

Post-Synthesis Sleep Transistor Insertion for Leakage Power Optimization in Clock Tree Networks Post-Synthesis Sleep Transistor Insertion for Leakage Power Optimization in Clock Tree Networks Houman Homayoun 1, Shahin Golshan 1, Eli Bozorgzadeh 1, Alex Veidenbaum 1, Fadi J. Kurdahi 2 1 Center of

More information

Project: Feedback Systems for Alternative Treatment of Obstructive Sleep Apnea

Project: Feedback Systems for Alternative Treatment of Obstructive Sleep Apnea Project: Feedback Systems for Alternative Treatment of Obstructive Sleep Apnea Idea: Create auditory and visual feedback systems to relate the amount of force back to the person exerting the force Potential

More information

AND9020/D. Adaptive Feedback Cancellation 3 from ON Semiconductor APPLICATION NOTE INTRODUCTION

AND9020/D. Adaptive Feedback Cancellation 3 from ON Semiconductor APPLICATION NOTE INTRODUCTION Adaptive Feedback Cancellation 3 from ON Semiconductor APPLICATION NOTE INTRODUCTION This information note describes the feedback cancellation feature provided in ON Semiconductor s latest digital hearing

More information

Design of high-voltage-tolerant stimulus driver with adaptive loading consideration to suppress epileptic seizure in a 0.

Design of high-voltage-tolerant stimulus driver with adaptive loading consideration to suppress epileptic seizure in a 0. Analog Integr Circ Sig Process (2014) 79:219 226 DOI 10.1007/s10470-014-0282-4 Design of high-voltage-tolerant stimulus driver with adaptive loading consideration to suppress epileptic seizure in a 0.18-lm

More information

MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility

MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility 1 MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility Neung-Hyung Lee and Saewoong Bahk School of Electrical Engineering & Computer Science, Seoul National University, Seoul Korea

More information

HIGH-VOLTAGE devices such as lateral diffusion transistors

HIGH-VOLTAGE devices such as lateral diffusion transistors IEEE TRANSACTIONS ON ELECTRON DEVICES 1 Analysis of GIDL-Induced OFF-State Breakdown in High-Voltage Depletion-Mode nmosfets Jone F. Chen, Member, IEEE, Chin-Rung Yan, Yin-Chia Lin, Jhen-Jhih Fan, Sheng-Fu

More information

POWER EFFICIENT PROCESSOR FOR PREDICTING VENTRICULAR ARRHYTHMIA BASED ON ECG

POWER EFFICIENT PROCESSOR FOR PREDICTING VENTRICULAR ARRHYTHMIA BASED ON ECG POWER EFFICIENT PROCESSOR FOR PREDICTING VENTRICULAR ARRHYTHMIA BASED ON ECG Anusha P 1, Madhuvanthi K 2, Aravind A.R 3 1 Department of Electronics and Communication Engineering, Prince Shri Venkateshwara

More information

AUTOMATIONWORX. User Manual. UM EN IBS SRE 1A Order No.: INTERBUS Register Expansion Chip IBS SRE 1A

AUTOMATIONWORX. User Manual. UM EN IBS SRE 1A Order No.: INTERBUS Register Expansion Chip IBS SRE 1A AUTOMATIONWORX User Manual UM EN IBS SRE 1A Order No.: 2888741 INTERBUS Register Expansion Chip IBS SRE 1A AUTOMATIONWORX User Manual INTERBUS Register Expansion Chip IBS SRE 1A 06/2006 Designation: Revision:

More information

DC Unbalance Tolerance Testing in PSE s

DC Unbalance Tolerance Testing in PSE s The PoE Impairment Much like cable attenuation, alien crosstalk, and impedance mismatches present physical layer impairments to packet traffic on 10/100/1000Base-T links, Power-over-Ethernet (PoE) also

More information

TLC2933 HIGH-PERFORMANCE PHASE-LOCKED LOOP

TLC2933 HIGH-PERFORMANCE PHASE-LOCKED LOOP Voltage-Controlled Oscillator (VCO) Section: Ring Oscillator Using Only One External Bias Resistor (R BIAS ) Lock Frequency: 43 MHz to 100 MHz (V DD = 5 V ±5%, T A = to, 1 Output) 37 MHz to 55 MHz (V DD

More information

Design of the HRV Analysis System Based on AD8232

Design of the HRV Analysis System Based on AD8232 207 3rd International Symposium on Mechatronics and Industrial Informatics (ISMII 207) ISB: 978--60595-50-8 Design of the HRV Analysis System Based on AD8232 Xiaoqiang Ji,a, Chunyu ing,b, Chunhua Zhao

More information

Proceedings of Meetings on Acoustics

Proceedings of Meetings on Acoustics Proceedings of Meetings on Acoustics Volume 19, 13 http://acousticalsociety.org/ ICA 13 Montreal Montreal, Canada - 7 June 13 Engineering Acoustics Session 4pEAa: Sound Field Control in the Ear Canal 4pEAa13.

More information

Procrastination Scheduling in Fixed Priority Real-Time Systems

Procrastination Scheduling in Fixed Priority Real-Time Systems Procrastination Scheduling in Fixed Priority Real-Time Systems Ravindra Jejurikar Rajesh Gupta Center for Embedded Computer Systems University of California, Irvine Irvine, CA 92697-3425, USA 1 (949) 824-8168

More information

Ion Implant Applications to Enable Advances in Semiconductor Technologies

Ion Implant Applications to Enable Advances in Semiconductor Technologies Ion Implant Applications to Enable Advances in Semiconductor Technologies K.V. ( Vivek ) Rao, Ph.D. Applied Materials, Inc. NCCAVS Junction Technologies User Group Meeting October 20, 2017 Applied Materials

More information

TOTAL IONIZING DOSE RADIATION TEST REPORT RH3080

TOTAL IONIZING DOSE RADIATION TEST REPORT RH3080 TOTAL IONIZING DOSE RADIATION TEST REPORT RH3080 April 2018 Product: Total ionizing dose level: Source: Dose Rate: Facilities: Radiation Test Report RH3080 High dose rate: 200 krad(si) Low dose rate: 100

More information

Efficient Feature Extraction and Classification Methods in Neural Interfaces

Efficient Feature Extraction and Classification Methods in Neural Interfaces Using a simple decision tree model, we introduce efficient hardware architectures to predict abnormal neurological states in various disorders. Efficient Feature Extraction and Classification Methods in

More information

SSO Simulation with IBIS

SSO Simulation with IBIS SSO Simulation with IBIS Manfred Maurer manfred.maurer@siemens.com Industrial Solutions and Services Your Success is Our Goal www.siemens.de/edh Manfred Maurer Folie 1 Motivation SSN with IBIS in 2000

More information

OCCLUSION REDUCTION SYSTEM FOR HEARING AIDS WITH AN IMPROVED TRANSDUCER AND AN ASSOCIATED ALGORITHM

OCCLUSION REDUCTION SYSTEM FOR HEARING AIDS WITH AN IMPROVED TRANSDUCER AND AN ASSOCIATED ALGORITHM OCCLUSION REDUCTION SYSTEM FOR HEARING AIDS WITH AN IMPROVED TRANSDUCER AND AN ASSOCIATED ALGORITHM Masahiro Sunohara, Masatoshi Osawa, Takumi Hashiura and Makoto Tateno RION CO., LTD. 3-2-41, Higashimotomachi,

More information

Procrastination Scheduling in Fixed Priority Real-Time Systems

Procrastination Scheduling in Fixed Priority Real-Time Systems Procrastination Scheduling in Fixed Priority Real-Time Systems Ravindra Jejurikar Center for Embedded Computer Systems University of California, Irvine Irvine, CA 9697 jezz@cecs.uci.edu Rajesh Gupta Department

More information

TLC2932 HIGH-PERFORMANCE PHASE-LOCKED LOOP

TLC2932 HIGH-PERFORMANCE PHASE-LOCKED LOOP Voltage-Controlled Oscillator (VCO) Section: Complete Oscillator Using Only One External Bias Resistor (R BIAS ) Lock Frequency: 22 MHz to 50 MHz (V DD = 5 V ±5%, T A = C to 75 C, 1 Output) 11 MHz to 25

More information

ERA: Architectures for Inference

ERA: Architectures for Inference ERA: Architectures for Inference Dan Hammerstrom Electrical And Computer Engineering 7/28/09 1 Intelligent Computing In spite of the transistor bounty of Moore s law, there is a large class of problems

More information

1. EXECUTIVE SUMMARY 2. PRODUCT SUMMARY

1. EXECUTIVE SUMMARY 2. PRODUCT SUMMARY 1. EXECUTIVE SUMMARY Success or failure in the medical industry begins with a diagnosis. This fact is well known and revered by clinicians, therapists, and health care providers. However, despite this

More information

Measuring Heart Rate and Blood Oxygen Levels for Portable and Wearable Devices

Measuring Heart Rate and Blood Oxygen Levels for Portable and Wearable Devices Measuring Heart Rate and Blood Oxygen Levels for Portable and Wearable Devices By Zhang Feng, Senior Medical Applications Engineer Marten Smith, Medical Marketing Manager Medical Products Group Microchip

More information

Brushless direct current (BLDC) machine Bipolar Unipolar Driving Circuit education study

Brushless direct current (BLDC) machine Bipolar Unipolar Driving Circuit education study Available online at www.sciencedirect.com Procedia Social and Behavioral Sciences 2 (2010) 2694 2699 WCES-2010 Brushless direct current (BLDC) machine Bipolar Unipolar Driving Circuit education study Gokhan

More information

Test Equipment Depot Washington Street Melrose, MA TestEquipmentDepot.com

Test Equipment Depot Washington Street Melrose, MA TestEquipmentDepot.com Test Equipment Depot - 800.517.8431-99 Washington Street Melrose, MA 02176 TestEquipmentDepot.com Table of Contents Table of Contents... iii Preface... viii Getting Started... 9 0.1 Breadboard... 9 0.2

More information

A Neuromorphic VLSI Head Direction Cell System

A Neuromorphic VLSI Head Direction Cell System > TCAS-I 8378 < 1 A Neuromorphic VLSI Head Direction Cell System Tarek M. Massoud, Timothy K. Horiuchi, Member, IEEE Abstract The head direction (HD) cell system in the brain of mammals is thought to be

More information

Leakage Currents of Zinc Oxide Surge Arresters in 22 kv Distribution System Using Thermal Image Camera

Leakage Currents of Zinc Oxide Surge Arresters in 22 kv Distribution System Using Thermal Image Camera Journal of Power and Energy Engineering, 2014, 2, 712-717 Published Online April 2014 in SciRes. http://www.scirp.org/journal/jpee http://dx.doi.org/10.4236/jpee.2014.24095 Leakage Currents of Zinc Oxide

More information

Fig. 1: Typical PLL System

Fig. 1: Typical PLL System Integrating The PLL System On A Chip by Dean Banerjee, National Semiconductor The ability to produce a set of many different frequencies is a critical need for virtually all wireless communication devices.

More information

VITAL SIGNS MONITOR. Members Jake Adams David Knoff Maysarah Shahabuddin. Clients Dr. John Enderle Dr. Gielo-Perczak

VITAL SIGNS MONITOR. Members Jake Adams David Knoff Maysarah Shahabuddin. Clients Dr. John Enderle Dr. Gielo-Perczak VITAL SIGNS MONITOR Members Jake Adams David Knoff Maysarah Shahabuddin Clients Dr. John Enderle Dr. Gielo-Perczak Vital Signs Cardiac Respiratory Pulse oximetry Respiration rate Body Temperature Existing

More information

Fig. 1 High level block diagram of the binary mask algorithm.[1]

Fig. 1 High level block diagram of the binary mask algorithm.[1] Implementation of Binary Mask Algorithm for Noise Reduction in Traffic Environment Ms. Ankita A. Kotecha 1, Prof. Y.A.Sadawarte 2 1 M-Tech Scholar, Department of Electronics Engineering, B. D. College

More information

Path to High-Quality Films on Continuous Substrates

Path to High-Quality Films on Continuous Substrates Spatial Atomic Layer Deposition: A Path to High-Quality Films on Continuous Substrates t David H. Levy, Roger S. Kerr, Shelby F. Nelson, Lee W. Tutt, and Mitchell Burberry Eastman Kodak Company Rochester,

More information

PoDL Tutorial. Dogs at the IEEE?

PoDL Tutorial. Dogs at the IEEE? PoDL Tutorial Dogs at the IEEE? Agenda What is PoDL? Quick Overview of PoDL Operation Walk Through the Draft PoDL = PoE for Single-Pair Ethernet 100BASE-T1 + PoDL: 100M and power over a single 24ga twisted

More information

A Review on Gesture Vocalizer

A Review on Gesture Vocalizer A Review on Gesture Vocalizer Deena Nath 1, Jitendra Kurmi 2, Deveki Nandan Shukla 3 1, 2, 3 Department of Computer Science, Babasaheb Bhimrao Ambedkar University Lucknow Abstract: Gesture Vocalizer is

More information

Exploration and Exploitation in Reinforcement Learning

Exploration and Exploitation in Reinforcement Learning Exploration and Exploitation in Reinforcement Learning Melanie Coggan Research supervised by Prof. Doina Precup CRA-W DMP Project at McGill University (2004) 1/18 Introduction A common problem in reinforcement

More information

Re: ENSC 370 Project Gerbil Functional Specifications

Re: ENSC 370 Project Gerbil Functional Specifications Simon Fraser University Burnaby, BC V5A 1S6 trac-tech@sfu.ca February, 16, 1999 Dr. Andrew Rawicz School of Engineering Science Simon Fraser University Burnaby, BC V5A 1S6 Re: ENSC 370 Project Gerbil Functional

More information

Total Ionizing Dose Test Report. No. 18T-RT4G150-LG1657-K04J0

Total Ionizing Dose Test Report. No. 18T-RT4G150-LG1657-K04J0 Total Ionizing Dose Test Report No. 18T-RT4G150-LG1657-K04J0 March 27, 2018 Table of Contents I. Summary Table...3 II. Total Ionizing Dose (TID) Testing...3 A. Device-Under-Test (DUT) and Irradiation Parameters...3

More information

Stepper Motors and Control Part II - Bipolar Stepper Motor and Control (c) 1999 by Rustle Laidman, All Rights Reserved

Stepper Motors and Control Part II - Bipolar Stepper Motor and Control (c) 1999 by Rustle Laidman, All Rights Reserved Copyright Notice: (C) June 2000 by Russell Laidman. All Rights Reserved. ------------------------------------------------------------------------------------ The material contained in this project, including

More information

AN-1203 Ultra-Low Power Wi-Fi Connected IoT Node

AN-1203 Ultra-Low Power Wi-Fi Connected IoT Node AN-1203 Ultra-Low Power Wi-Fi Connected IoT Node Many applications in the Internet of Things (IoT) market require an ultra-low power design because of limited power availability. Some IoT devices are battery

More information

When designing with these products it is important that the Designer take note of the following considerations:

When designing with these products it is important that the Designer take note of the following considerations: Applicable Products HMC820LP6CE HMC821LP6CE HMC822LP6CE HMC824LP6CE HMC826LP6CE HMC828LP6CE HMC829LP6GE HMC830LP6GE HMC831LP6CE HMC832LP6GE HMC833LP6GE HMC834LP6GE HMC836LP6CE HMC837LP6CE HMC838LP6CE HMC839LP6CE

More information

Transitioning from the CS4362 to the CS4362A or CS4365

Transitioning from the CS4362 to the CS4362A or CS4365 Transitioning from the CS to the CSA or CS. Introduction This application note describes how to transition easily to the CS or CSA from an existing design that uses the CS. The CSA was designed to give

More information

Design and Implementation of Programmable Hearing Aid Using FPAA

Design and Implementation of Programmable Hearing Aid Using FPAA Design and Implementation of Programmable Hearing Aid Using FPAA Priya. K *1 and P. Eswaran *2 *Department of Electronics and Communication Engineering, SRM University, Chennai, Tamilnadu-603 203 Abstract.

More information

Biomimetic Cortical Nanocircuits: The BioRC Project. Alice C. Parker NSF Emerging Models of Technology Meeting July 24, 2008

Biomimetic Cortical Nanocircuits: The BioRC Project. Alice C. Parker NSF Emerging Models of Technology Meeting July 24, 2008 Biomimetic Cortical Nanocircuits: The BioRC Project Alice C. Parker NSF Emerging Models of Technology Meeting July 24, 2008 The BioRC Project Team and Support Alice Parker, PI and Chongwu Zhou, Co-PI Graduate

More information

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS 1 Discrete-Time, Linear Periodically Time-Variant Phase-Locked Loop Model for Jitter Analysis Socrates D. Vamvakos, Member, IEEE, Vladimir Stojanović,

More information

Parametric Optimization and Analysis of Adaptive Equalization Algorithms for Noisy Speech Signals

Parametric Optimization and Analysis of Adaptive Equalization Algorithms for Noisy Speech Signals IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676, p-issn: 2320 3331, Volume 4, Issue 6 (Mar. -Apr. 2013), PP 69-74 Parametric Optimization and Analysis of Adaptive Equalization

More information

Modulation Coding for Flash Memories

Modulation Coding for Flash Memories Modulation Coding for Flash Memories Yongjune Kim, B. V. K. Vijaya Kumar Data Storage Systems Center Carnegie Mellon University Pittsburgh, USA yongjunekim@cmu.edu Kyoung Lae Cho, Hongrak Son, Jaehong

More information

CHAPTER I From Biological to Artificial Neuron Model

CHAPTER I From Biological to Artificial Neuron Model CHAPTER I From Biological to Artificial Neuron Model EE543 - ANN - CHAPTER 1 1 What you see in the picture? EE543 - ANN - CHAPTER 1 2 Is there any conventional computer at present with the capability of

More information

Adaptive Testing With the Multi-Unidimensional Pairwise Preference Model Stephen Stark University of South Florida

Adaptive Testing With the Multi-Unidimensional Pairwise Preference Model Stephen Stark University of South Florida Adaptive Testing With the Multi-Unidimensional Pairwise Preference Model Stephen Stark University of South Florida and Oleksandr S. Chernyshenko University of Canterbury Presented at the New CAT Models

More information