Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay

Size: px
Start display at page:

Download "Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay"

Transcription

1 Slew-Aware Clock Tree Design for Reliable Subthreshold Circuits Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, and Saibal Mukhopadhyay Georgia Institute of Technology, Atlanta, GA, 3332 {xinzhao, limsk, ABSTRACT In the paper, we analyze the effect of clock slew in subthreshold circuits. Specifically, we address the issue that variations in clock slew at the register control can cause serious timing violations. We show that clock slew variations can cause latch timing metrics such as setup, hold and clock-to-q times to deviate by 9% from the design goals. Based on these observations, we recognize the importance of clock slew control in subthreshold circuits. We propose a systematic approach to design the clock tree for subthreshold circuits to reduce the clock slew variations while minimizing the power dissipation in the tree. We show that a tighter nodal capacitance control is necessary to control the slew in a subthreshold clock tree, which can increase the power dissipation. Recognizing that the wire resistances have a negligible effect in subthreshold circuits, we show proper wire sizing is necessary to reduce the clock power. Finally, we propose a dynamic nodal capacitance control technique that allows larger slew at the earlier nets of the tree while controlling it more aggressively near the sink nodes. The combined approach, including the wire sizing and dynamic nodal capacitance control, can achieve better slew control (and better timing control) at lower power in subthreshold circuits. Categories and Subject Descriptors B.8.2 [Performance and Reliability]: General General Terms Performance, Design, Reliability Keywords Subthreshold, Slew, Clocks. I TRODUCTIO Transistors operating in the subthreshold region constitute an attractive technology for ultra-low power mobile applications such as micro-sensors and biomedical devices. When the primary goal is to save energy, subthreshold logic can allow for maximum power reduction by simply reducing the supply voltage. Even though low power is the main focus, it is still innate for the Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. ISLPED 9, August 9-2, 9, San Francisco, CA, USA. Copyright 9 ACM /9/8 $.. designer to optimize secondary parameters such as robustness and performance. As a result of these efforts, works have been presented to optimize energy-delay product, while performing computations with minimal error []. In addressing the design of an optimal energy-delay subthreshold system, the clock network plays a significant role. Delivering robust clock signals to hundreds or even thousands of flip-flops requires the clock tree to be optimally designed to handle issues of delay, skew, and jitter. In subthreshold, the signal slew also has capacity to affect system performance. Additionally, because the clock network is the work horse of sequential logic, with the highest switching activity, it can contribute up to 4% of the total dynamic power [2]. The same trend is expected when an above threshold system is scaled to subthreshold voltages. Thus, designing a low-power yet robust clock tree is a critical challenge to implement large scale subthreshold systems. This challenge is increasingly difficult because subthreshold designs are always constrained by the requirement of robustness. The inherent variations in process parameters and other sources of random circuit noises severely degrade the robustness of the subthreshold circuits. Hence, the deterministic variation sources (i.e. clock slew) that can be modeled during clock tree design time need to be accurately considered and methods need to be developed to reduce them. The purpose of this work is to analyze the impact of clock slew in subthreshold design and propose a technique for a lowpower slew-controlled clock-tree design. We examine the inherent slew variations in clock tree. We show that the slew variations can contribute to as much as 9% variation in parameters such as setup time, hold time, and clock-to-q. As the slew increases, these timing metrics deviate further from the best case, increasing the risk of violating cycle and hold time margins. By designing a subthreshold clock tree that causes less slew variations at the registers, the timing violations will be reduced, creating a more robust clock design. Experiments in predictive 65nm technology shows that by employing the proposed techniques, it is possible to reduce the clock slew variation induced errors in latch timing while maintaining or reducing the power dissipation of the clock tree. 2. THE IMPORTA TA CE OF SUBTHRESHOLD SLEW CO TROL As the supply voltage of digital circuits is scaled below the device threshold, the characteristics of the transistor change. An immediate observation is that the current in the subthreshold regime has an exponential dependence on gate voltage, threshold voltage, and additional parameters that are functions of the process. This is in contrary to the above threshold design, whose dependence has been noted to be linear or square [3]. As a result 5

2 3 Sub V TH σ / µ = Slew Variations, ns Load Cap, ff Figure : (Left) Slew variations at the sink nodes of a subthreshold clock tree designed using above threshold concepts. (Right) ormalized output slew contours and their dependence on input slew and total load capacitance for an inverter. of these effects, small variations in the subthreshold regime have been known to follow this exponential dependence, and care has been taken to control these effects [4-8]. In above threshold circuits, proper modeling has given insight into physical device parameters and how they interact with each other. It has been shown that the output slew of a logic gate has a strong dependence on the device dimensions as well as the load capacitance. The input slew of a logic gate can cause the output delay to change in the range of 5-% [9]. More recently, this effect has been designated a concern for flip-flop design in the subthreshold region [8]. The purpose of this section is to demonstrate to the reader the effects of clock slew, and how severely it can impact important timing metrics. When designed with above threshold methods, subthreshold clock trees exhibit significant slew variations at the sink nodes (i.e. the nodes directly connected to the latches) that will increase the probability of timing violations. As an example, the focus of this experimental section will be based on a clock network designed using an above threshold, zero skew clock tree design algorithm, with a slew control method that limits the maximum capacitance driven by each internal and external clock node (i.e. hereafter, referred to as the nodal capacitance). The power supply of this design was then scaled to below the device threshold. In this clock tree, inverting buffers were used to reduced the number of devices and thus save on power. The small scale tree was designed using a 65nm Predictive Technology Model (PTM) with V TP = -378mV and V TN = 429mV. The power supply was 3mV and the clock tree has 267 sink nodes, each driving multiple flip-flops []. 2. Clock Tree Impact on Slew Variations Variations can come from sources of random noise, imperfect device processing, and design choices. While it is possible to corral variations, it is impossible to eliminate them in general. Slew variations are no different, yet little attention has been designated to this problem. Figure (left) depicts the slew distribution at the sink nodes for the subthreshold clock tree described above. The slew at the clock sink nodes is important because they are the control for pipeline registers, which constitute a significant amount of latches and flip-flops in a chip design. The coefficient of variation, CV, is a normalized measure of dispersion of a probability distribution and is defined as the ratio of standard deviation (σ) to mean (µ): σ = µ CV () Normalized Input Slew 3 4 In the subthreshold clock tree the CV is 23 percent, showing there is a wide distribution of slew. Well controlled CVs are in the range of -5 percent. This distribution of slew shown in Figure (left) corresponds to the slew variation across different sink nodes of the tree. This slew variation is caused by variation in the output slew of the inverter stages of the clock tree. The right plot in Figure shows the effect of input slew and load capacitance on the output slew of an inverter. The line contours represent how the output slew of an inverter has a strong dependence on the input slew and load capacitance []. This is important for clock tree paths, because it is composed of numerous inverters, and the slew and capacitance vary from node to node. For a smaller output slew, a smaller input slew and load capacitance are required. The recovery of the slew (i.e. output slew smaller than the input slew) through an inverter stage is an important consideration for the clock tree path. If not controlled, the slew can become progressively higher (as much as 3X) as signals progress down a clock path. The slew recovery is a strong function of the load capacitance. Figure shows that if the load capacitance is reasonable, the inverter can recover slew even for a large input slew. Hence, controlling the capacitance driven by each node in the clock tree is very important to control slew propagation through the tree and reduce the slew variation at the sink nodes. 2.2 Slew Impact on Timing Violations The primary concern that can arise from clock slew variations is the impact on sequential circuit timing. Robust latches and flip-flops are required to minimize the functionality errors, but their design alone can not account for the impact of clock slew. In this section we will characterize the clock slew impact on timing violations and show its direct influence on a commonly used flip-flop. The operating frequency of a pipelined processor is limited by the logic that can be performed between latches and is defined as the inverse of the cycle time, T: + δ tc q + tlogic t su (2) T + Where t c-q denotes the maximum propagation delay of the register (clock-to-q), t logic is the maximum delay of the combinational logic, t su is the setup time for the registers and δ the clock skew. When the cycle time is not met, functionality errors arise as the result of a computation has not been completed before the next cycle begins. While maximum delay is important, the hold time of the destination register must be shorter than the minimum propagation delay through the network. It is defined as, δ + t hold < tc q, cd + tlogic, cd (3) where the subscript cd refers to the contamination delay, or minimum delay. Essentially, if the hold time of a logic path is violated, the data presented at the destination register will be overwritten by new data, before it has an opportunity to write to the latch. Initially we ignore skew to understand how slew independently impacts the timing metrics. To understand the effect of clock slew we have analyzed how these variations impact the most important timing metrics; setup 6

3 T + δ tc q + tlogic + tsu (4) Normalization.5.5 t SETUP t HOLD t CQ CD Slew, ns t CQ Figure 2: Timing variations for a clock tree designed in above threshold lowered to subthreshold. Timing metrics are normalized by the best case value. time, hold time and clock-to-q. The clock slew values obtained from Figure were used to simulate slew variations on a flip-flop (Figure 2). The authors realize that understanding the slew impact is a complex problem [8], so to simplify, the data slew is assumed to be zero to isolate the effects of clock slew. Figure 2 depicts a commonly used flip-flop configuration that can be used in subthreshold operation [2]. The plot above in Figure 2 shows how the slew distribution directly affects each of the four timing metrics described above. They have been normalized since the focus of this study is on the general behavior. In the next subsections we will explain how the overall timing margins are changed by a degrading slew Slew Variations affecting cycle time margins When slew variations are applied to clock, the setup time is directly proportional to it. In severe cases the setup time can vary by 9% worse than the best case achieved. This variation in setup will reduce the time that is available to compute logic, and in some cases will cause errors in the logic by violating the setup requirements. When the worst case clock-to-q (t CQ ) is considered, a similar trend will exist in relation to the clock slew rate. For the given slew distributions, the clock-to-q will be in the range of 25% worse than the best case clock-to-q. Overall, the clock slew distribution shows that there will be a distribution of cycle time as well, based on each logic path. Referring now to (4), designers should consider the setup and clock-to-q variations when designing for a target frequency. The delta denotes that there is a variation in setup and clockto-q times that will ultimately contribute to the cycle time. From our understanding, a smaller slew variation with translate in to smaller setup and clock-to-q variations. By reducing the clock slew variation, this timing metrics will reduce and the cycle time will be better controlled Slew Variations affecting hold margins Looking at the hold time curve of Figure 2, we see the curve with a negative slope. This leads us to believe that increasing the clock slew will reduce the hold time. Upon further investigation, it is known than all hold times are negative; thus the smaller ratio of hold time to best case hold time means the hold time at a larger slew is less negative (i.e hold time is increased). The small negative number means the requirement of the hold edge has been pulled to a time closer to the clock edge. This range of hold times can vary as much as 5% of the best case. Much like the worse case clock-to-q, the contamination delay clock-to-q (t CQ CD ) is directly dependent on the slew distribution. For an increasing clock slew, the variation of contamination delay can be as much as 25%. The combination of hold and contamination times will come into play when the hold time margin is considered. In our flip-flop configuration, the hold time and contamination delay increased with increasing clock slew distribution. Using this information and (3), we can rearrange the equation to get a better understanding of the hold requirement. To ensure that a race condition does not occur, the following expression should hold true, even with variations: δ (5) t hold tc q, cd < + tlogic, cd Since the hold time and contamination delay increases with slew it is possible to violate the requirement of (5). If the hold time plus variations are greater than the contamination delay plus variations, a violation occurs and there is a logic failure. Additionally, if the clock tree has been designed with a recognized clock skew, any change in the left hand expression could cause a race condition. If the slew variation is minimal, this will reduce or even eliminate this problem. By considering this point, it further justifies the need for clock slew control in subthreshold design. 2.3 Clock Tree Impact on Timing Violations In subsections 2. and 2.2 we have discussed that () a clock tree design will cause slew variations and (2) slew variations have the potential to cause severe timing violations in subthreshold. In this section, we make the connection that the design of the clock tree contributes to these timing variations. Figures 3 (a) and (b) shows the distribution of the timing metrics that impact cycle time. Figure 3 (a) reiterates the concept that the setup time is worsened by increasing clock slew with a variation of up to 9%. Recall that the clock-to-q trends were similar (Figure 3 (b)), as the clock slew increases the cycle time will increase as well. Figures 3 (c) and (d) summarize the trends on the hold time requirements. In subthreshold, the hold time is increasing (becoming less negative) while the contamination delay is increasing. The subthreshold flip-flop will be more sensitive to clock slews, because it will increase the chance of violating a hold time. 7

4 Setup Time Variations 3 3. TECH IQUES FOR LOW POWER SLEW CO TROL I SUBTHRESHOLD The findings from section 2 show that it is necessary to control and reduce the variations associated with clock slew for robust subthreshold operation. The focus of this section will be to investigate techniques to design a clock tree in subthreshold that provide a smaller slew variation. 3. Conventional Methods that limit C MAX Conventional above threshold methods for controlling clock slew currently exist and rely on limiting the maximum nodal capacitance, C MAX a buffer can drive [3-6]. In our analysis of an above threshold clock tree scaled to operate in subthreshold, the C MAX was defined by above threshold methods. In above threshold, there is more available current to drain the charge from the node, therefore we expect a smaller C MAX to be required for optimal subthreshold clock trees. Figure 4(a) shows the results of a clock tree designed in subthreshold (for the same netlist), with varying C MAX. Keep in mind that this figure of merit C MAX, represents the maximum nodal capacitance a node can have, and that in most cases it is less than that. The results show that it is Normalized Power (a).6.8 Hold Time Variations X Wire 4X Wire 25 Normalization C Q Variations.8.4 # INVs C, ff MAX (a) (b) Figure 4: Summary of experimental methods to reduce the slew variations in subthreshold. (a) shows that increasing C MAX increases slew and reduces power. The numbers indicate the fixed C MAX in ff. (b) The impact of C MAX constraint on wire length, power and buffer count..8.6 (b) C Q CD Variations (c) (d) Figure 3: Timing metric distributions for a clock tree designed in above threshold and then the power supply is scaled to subthreshold voltages. The variations are normalized by the best case scenario. Hold time distributions are for negative hold times. 3 Wire Length Power Figure 5: Distribute RC Line used to model wires possible to better control the slew in subthreshold reducing the average rise slew from 6ns to 3ns. At the same time we are reducing the slew, we are increasing the power by nearly %. This behavior is exhibited because as we reduce the C MAX each node can drive, the total interconnect capacitance remains constant and we need to insert more buffers to compensate for the reduced C MAX. Figure 4 (b) shows this trend of power and number of inverters as a function of C MAX. Additionally, the total wire length of the design has subtle changes, because a small wire will take the place on removed inverters. Note that going from a C MAX of ff to 3fF, the number of inverters decreases by 6%, but the power only decreases by nearly %. A large portion of this is unaffected power comes from the large interconnect capacitance. In summary to design a subthreshold clock tree, we require a smaller C MAX than in above threshold. 3.2 Minimum Wire Width in Subthreshold The wire interconnect contributes to a large portion of the power in a clock network. Reducing the capacitance in interconnect without sacrificing delay in the clock path can help to address this power component. Figure 5 shows the distributed RC line that is often used to model wires. The line of length L is broken into smaller networks each of L, with multiple resistor and capacitive paths. Based on [2] the design rule of thumb is that rc wire delays should only be considered when the line being modeled has reached a critical length, L crit : L crit td >> (6).38rc where td is the gate delay, r the resistance per unit length and c the capacitance per unit length. Based on the above equation, the L crit for a ns gate in 65nm (using the PTM model) should be much greater than 4mm. This value also assumes a minimum wire width to reduce the interconnect capacitance. A recent work showed a 65nm subthreshold chip with a 2.29mm x.86mm area [7]. Using this as a benchmark we could expect a worse case wire length of just over 4mm. Since we expect the length of the wire to be much less than the critical length, it may be possible to neglect the propagation delay across the wire. When L << L crit, the wire delay can respond to changes just as quickly as they arrive on the line. This is possible in subthreshold as the device resistance is much higher than the wire resistance and we are operating at lower frequencies. Since rc wire delays are negligible, all wires can be designed with minimum width as well. In above threshold it is not possible to neglect rc wire delays, therefore the wires are usually larger than minimum width. Additionally, because above threshold circuits operate at higher frequencies, the wire can not respond as quickly to the changes at the driver output. In subthreshold, a decrease in physical wire width will also reduce the interconnect capacitance, because the area of the wire is reduced. 8

5 Figure 6: Dynamic C MAX selection is the proposed technique to reduce slew variations at the sink nodes, while ensuring the power does not increase. Referring back to Figure 4 (a), we can show how reducing the wire width in a subthreshold clock tree can reduce the power without sacrificing slew. The above threshold clock tree used 4X minimum wires to ensure the rc delays were handled correctly. When this tree is lowered to subthreshold voltages, the 4X wire width only adds capacitance and thus power to the system. This result can allow us to design the clock tree in subthreshold with minimum widths to reduce wire cap and neglect the wire resistance compared to the driver resistance. 3.3 Dynamic C MAX Selection The previous two methods have provided insight into reducing the slew (and variation) while at the same time considering power. While they each have their pros, alone they can not provide an optimal subthreshold clock tree for slew control. As a result, the authors propose a new technique for optimal design in subthreshold, based on regressive C MAX near clock sinks. From the results of Figures 4 (a), we know that we can reduce the power in the clock network by increasing the C MAX that each node drives. At the other end of the spectrum, a smaller C MAX will control slew better. For the purposes of controlling slew, we are most interested in reducing variations at the sink nodes, which directly attach to flip-flops. We still need to control slew at other nodes, but we can relax constraint in order to save power (fewer or smaller buffers). To reduce power while achieving a proper slew at the sinks, C MAX should vary at each level of the clock tree, reducing the closer we get to the sink. Additionally, if we ensure the wire widths as minimum, we should receive more power savings. Figure 6 summarizes the proposed technique and the previous method with fixed C MAX. Figure 7 shows the potential performance that Dynamic C MAX selection has compared to fixed CMAX methods. For the given clock tree, there were ten buffer levels with ascending C MAX (3fF to ff) as clock signal gets closer to the sink node. It is apparent that the axes in the figure represent a power vs. robustness plane. In an optimal case, there is zero slew and zero power, leading us toward the origin. Based on this, curves that are closer to the origin represent a better power-robustness tradeoff. Figure 7 (a) shows the trend for a selection of points, while Figure 7 (b) zooms into a region where slew is small. In Figure 7 (b), we see that it is possible to reduce the power in the clock network by nearly 6% while maintaining the same slew, by employing Dynamic C MAX Selection. Table. shows a comparison of the nodal capacitances at each level of a clock tree employing a fixed vs. dynamic C MAX. The objective is to tighten the constraint of C MAX near the sink node and relax the constraint near the source. The dynamic trees Normalized Power Dyn C MAX Fixed C MAX and 5 were determined by a selected as a random C MAX pattern and shows that it is possible to achieve a power and robustness (slew) improvement. This demonstrates the possibilities of dynamic C MAX selection and the authors there exists a methodology to optimally decide the dynamic C MAX values at different levels to co-optimize the slew variations and clock power. 4. FI AL CLOCK TREE RESULTS To check the results of the combined methods researched above, we have implemented several subthreshold clock trees in 65nm CMOS, based off the PTM Model at V DD = 3mV. Figure 8 (a) represents a plane of transitions as a new technique was employed at each number to reduce the slew variations. The results are depicted for rise slew information, but a similar trend exists for fall slews. We started with an above threshold clock tree (with Fixed C MAX ) that was scaled to subthreshold voltages. The transitions can be described as follows: ( to 2) the C MAX was reduced from 25 to ff and the tree was redesigned using fixed C MAX ; (2 to 3) the wire length was reduced from 4X to X minimum sized, and then redesigned with fixed C MAX, (3 to 4) one possibility for Dynamic C MAX Selection was used, and (4 to 5) another possibility for Dynamic C MAX Selection is used. With a starting point of and ending point of 5, this proves that it is possible to reduce the slew without increasing power in a subthreshold clock tree. Figure 8 (a) and (b) show that our final clock tree, 5, has smaller slew variations compared to the original above threshold design, scaled to subthreshold. Figure 8 (b) shows that the clock tree designed with Dynamic C MAX selection has reduced the variations in slew from the range of 3- ns to the range of 3-6ns. Recall that in Figure 2, a slew of ns would cause a setup time variation of 9% from the design target. By using the dynamic C MAX selection, the slew of 6ns would cause the setup time variations to be only 4% of the design target. This is a 5% reduction in setup time deviations and shows a significant improvement in using Dynamic C MAX Selection over simply using above threshold methods. Lastly, the coefficient of variation for the new clock tree is.579 compared to the larger dispersion of.239. Lastly, Figure 8 (c) shows the final clock tree (5) implemented with the Dynamic C MAX Selection. 5. CO CLUSIO In this paper, we have explained how the design of a subthreshold clock tree can impact the slew variations. These slew variations.9 Dyn C MAX Fixed C MAX (a) (b) Figure 7: Preliminary results of slew control and power savings of Dynamic C MAX Selection. The numbers indicate the fixed C MAX in ff. By traversing the Dynamic C MAX path, we can achieve better slew control at targeted power. 5 9

6 Tree # FIXED #4 DY #5 DY Normalized Power SOURCE Table. Summary of Fixed C MAX vs. Dynamic C MAX for combined methods SI K have the potential to cause setup and hold time violations that will corrupt the flow of data in a logic path. We showed that for the selected flip-flop, as the slew increases, setup, hold and clock-to-q times worsened. This notion provided the motive to design an optimal subthreshold clock tree with slew control. We conclude that the following guidelines should be used when designing an optimal clock tree in subthreshold with slew control:.) The maximum allowable nodal capacitance should be reduced to control the slew in the subthreshold clock tree at the expense of higher power. 2.) The minimum wire size should be used to design the clock tree as wire resistance is insignificant compared to the device resistance. 3.) The maximum nodal capacitance can be controlled dynamically to allow more slew propagation near the root of the tree while saving power. On the other hand, near the sink-nodes (i.e. leaves) the maximum nodal capacitance should be reduced to better control the slew. We have presented a systematic approach combining the above three guidelines for subthreshold clock tree design that has the potential to reduce the timing metric variations by 5% or more while maintaining the power advantage of subthreshold design. The concept of slew control to reduce the timing errors in subthreshold is very complex and the focus of this study was designated towards controlling clock slew. This work inspires the authors to further investigate the effects of slew in both clock and data. Additionally, the flip-flop design will also have an impact on how severe the timing variations are. By co-designing these efforts, it will be possible to further reduce the variations in slew; thus reducing the probability of timing violations. 6. REFERE CES [] A. Wang et. al, Optimal Supply and Threshold Scaling for Subthreshold CMOS Circuits, Symposium of VLSI, 2 [2] N. Magen, A. Kolodny, et. al, Interconnect-power dissipation in a microprocessor, International Workshop on SLIP, 4 orm. Power 25fF 25fF 25fF 25fF 25fF 25fF 25fF 25fF 25fF 25fF. 5.92ns 3fF 3fF 3fF 3fF 3fF 3fF 3fF 5fF 5fF 5fF.2 4.5ns 3fF 3fF 3fF 3fF 3fF ff ff ff ff ff ns Variations Slew Distribution Scaled Tree Dyn C MAX σ / µ (new) =.579 (a) (b) (c) Figure 8: (a) Summary of combined approaches to reduce slew variations in subthreshold. (b) Dynamic C MAX slew distribution compared with the original clock tree. The new slew distribution has a coefficient of variation of.579, better than the original.239 of Figure. (c) Clock tree (5) routed using Dynamic C MAX and combined methods. Avg. Slew [3] T. Sakurai and A.R. Newton, "Alpha-power model, and its application to CMOS inverter delay and other formulas", IEEE JSSC vol. 25, pp , April 99. [4] B. Zhai, S. Hanson, D. Blaauw, D. Slyvester, Analysis and Mitigation of Variability in Subthreshold Design, International Symposium on Low Power Electronic Design, August 5 [5] J. Kwong, A. Chandrakasan, Variation-driven device sizing for minimum energy sub-threshold circuits, ISLPED, October 4-6, 6, Tegernsee, Bavaria, Germany [6] N. Jayakumar, S.P. Khatri, A Variation-tolerant Sub-threshold Design Approach, Design Automation Conference (5), [7] N. Lotze, M. Ortmanns, Y. Manoli, Variability of flip-flop timing at sub-threshold voltages, ISLPED, Bangalore, India, August 8 [8] N. Verma and A. Chandrakasan, Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits, JSSC January 8 [9] N. Hedenstierna and K.. Jeppson, CMOS circuit speed and buffer optimization, IEEE TCAD, no. 2, pp , Mar [] J. R. Tolbert, S. Mukhopadhyay, Accurate Buffer Modeling with Slew Propagation in Subthreshold Circuits, ISQED, March 9 [] Predictive Technology Model, [2] J. Rabaey et. al, Digital Integrated Circuits, 3 [3] G. E. Tellez and M. Sarrafzadeh, "Minimal buffer insertion in clock trees with skew and slew rate constraints," IEEE TCAD, vol. 6, no. 4, pp , April 997. [4] C. J. Alpert, A. B. Kahng, L. Bao, I. I. Mandoiu, and A. Z. Zelikovsky, "Minimum buffered routing with bounded capacitive load for slew rate and reliability control," IEEE TCAD, vol. 22, no. 3, pp , March 3. [5] C. Albrecht, A. B. Kahng, L. Bao, I. I. Mandoiu, and A. Z. Zelikovsky, "On the skew-bounded minimum-buffer routing tree problem," IEEE TCAD, vol. 22, no. 7, pp , July 3. [6] S. Hu, C. Alpert, J. Hu, S. Karandikar, Z. Li, W. Shi, and C. Sze, "Fast algorithms for slew-constrained minimum cost buffering," IEEE TCAD, vol. 26, no., pp. 9-22, Nov. 7. [7] J. Kwong and et. al, A 65 nm Sub-Vt Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter, IEEE JSSC, January 9

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a

Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability. Masanori Hashimoto a 10.1149/05201.1079ecst The Electrochemical Society Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability Masanori Hashimoto a a Department of Information Systems Engineering,

More information

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region

Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research, Volume 2, Issue 2, February-2011 1 Design of Low-Power CMOS Cell Structures Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar

More information

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region

Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region International Journal of Scientific & Engineering Research Volume 2, Issue 6, June-2011 1 Low-Power 1-bit CMOS Full Adder Using Subthreshold Conduction Region Vishal Sharma, Sanjay Kumar Abstract In balancing

More information

Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor

Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor Rupesh S. Shelar Low Power IA Group Intel Corporation, Austin, TX December 13 th 2011 UT ICS/IEEE Seminar, UT Austin

More information

Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations

Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations Bulk CMOS Device Optimization for High-Speed and Ultra-Low Power Operations Brent Bero and Jabulani Nyathi School of EECS Washington State University Pullman, WA (USA) {bbero,jabu} @eecs.wsu.edu Abstract-

More information

Design Methodology for Fine-Grained Leakage Control in MTCMOS

Design Methodology for Fine-Grained Leakage Control in MTCMOS Abstract Design Methodology for Fine-Grained Leakage Control in MTCMOS Benton H. Calhoun, Frank A. Honore, and Anantha Chandrakasan Massachusetts Institute of Technology, Cambridge, MA, 239 {bcalhoun,honore,anantha}@mtl.mit.edu

More information

Reduction of Subthreshold Leakage Current in MOS Transistors

Reduction of Subthreshold Leakage Current in MOS Transistors World Applied Sciences Journal 25 (3): 446-450, 2013 ISSN 1818-4952 IDOSI Publications, 2013 DOI: 10.5829/idosi.wasj.2013.25.03.797 Reduction of Subthreshold Leakage Current in MOS Transistors 1 2 3 4

More information

Timing-Based Delay Test for Screening Small Delay Defects

Timing-Based Delay Test for Screening Small Delay Defects 19.2 Timing-Based Delay Test for Screening Small Delay Defects Nisar Ahmed, Mohammad Tehranipoor Dept. of Computer Engineering Univ. of Maryland Baltimore County {nisar1, tehrani}@umbc.edu Vinay Jayaram

More information

DATE 2006 Session 5B: Timing and Noise Analysis

DATE 2006 Session 5B: Timing and Noise Analysis DATE 2006 Session 5B: Timing and Noise Analysis Bus Stuttering : An Encoding Technique To Reduce Inductive Noise In Off-Chip Data Transmission Authors: Brock J. LaMeres, Agilent Technologies Sunil P. Khatri,

More information

Post-Synthesis Sleep Transistor Insertion for Leakage Power Optimization in Clock Tree Networks

Post-Synthesis Sleep Transistor Insertion for Leakage Power Optimization in Clock Tree Networks Post-Synthesis Sleep Transistor Insertion for Leakage Power Optimization in Clock Tree Networks Houman Homayoun 1, Shahin Golshan 1, Eli Bozorgzadeh 1, Alex Veidenbaum 1, Fadi J. Kurdahi 2 1 Center of

More information

Lecture 10: Efficient Design of Current-Starved VCO

Lecture 10: Efficient Design of Current-Starved VCO Lecture 10: Efficient Design of Current-Starved VCO CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors

More information

Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability

Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability S. Ghosh, S. Bhunia, and K. Roy School of Electrical and Computer Engineering, Purdue University, IN {ghosh3, bhunias, kaushik}@ecn.purdue.edu

More information

Comparative Analysis of Voltage Controlled Oscillator using CMOS

Comparative Analysis of Voltage Controlled Oscillator using CMOS Indian Journal of Science and Technology, Vol 9(14), DOI: 10.17485/ijst/2016/v9i14/84749, April 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Comparative Analysis of Voltage Controlled Oscillator

More information

A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme

A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme Tae-Hyoung Kim, Jason Liu, John Keane, and Chris H. Kim University of Minnesota, Minneapolis Agenda

More information

A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY

A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY A 2.4 GHZ FULLY INTEGRATED LC VCO DESIGN USING 130 NM CMOS TECHNOLOGY Gaurav Haramkar 1, Prof. Rohita P. Patil 2 and Renuka Andankar 3 1 Department of E&TC Engineering, SKNCOE, University of Pune, Pune,

More information

Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications

Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications Journal of Electron Devices, Vol. 11, 2011, pp. 567-575 JED [ISSN: 1682-3427 ] Viability of Low Temperature Deep and Ultra Deep Submicron Scaled Bulk nmosfets on Ultra Low Power Applications Subhra Dhar

More information

Statistical Analysis of MUX-based Physical Unclonable Functions

Statistical Analysis of MUX-based Physical Unclonable Functions Statistical Analysis of MUX-based Physical Unclonable Functions Yingjie Lao, Student Member, IEEE, and Keshab K. Parhi, Fellow, IEEE Abstract Physical unclonable functions (PUFs) can store secret keys

More information

SUPPLEMENTAL MATERIAL

SUPPLEMENTAL MATERIAL 1 SUPPLEMENTAL MATERIAL Response time and signal detection time distributions SM Fig. 1. Correct response time (thick solid green curve) and error response time densities (dashed red curve), averaged across

More information

Review Article Device and Circuit Design Challenges in the Digital Subthreshold Region for Ultralow-Power Applications

Review Article Device and Circuit Design Challenges in the Digital Subthreshold Region for Ultralow-Power Applications VLSI Design Volume 2009, Article ID 283702, 14 pages doi:10.1155/2009/283702 Review Article Device and Circuit Design Challenges in the Digital Subthreshold Region for Ultralow-Power Applications Ramesh

More information

Chapter VI Development of ISFET model. simulation

Chapter VI Development of ISFET model. simulation Chapter VI Development of ISFET model using ZnO as gate and its simulation Introduction Theory of ISFET Experimental work Results and discussion Conclusion 6.1 General: The human bodies ph and electrolyte

More information

Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop

Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop EUROCON 2007 The International Conference on Computer as a Tool Warsaw, September 9-12 Enhancement of VCO Linearity and Phase Noise by Implementing Frequency Locked Loop Emre Ayranci, Kenn Christensen,

More information

TOTAL IONIZING DOSE TEST REPORT

TOTAL IONIZING DOSE TEST REPORT October 10, 2000 TOTAL IONIZING DOSE TEST REPORT No. 00T-RT14100-UCL082 J. J. Wang (408)522-4576 jih-jong.wang@actel.com I. SUMMARY TABLE Parameters Tolerance 1. Gross Functional > 20krad(Si), exact number

More information

Application of Op-amp Fixators in Analog Circuits

Application of Op-amp Fixators in Analog Circuits Application of Op-amp Fixators in Analog Circuits R. Rohith Krishnan #1, S. Krishnakumar *2 # Department of Electronics, S.T.A.S, M.G. University Regional Centre Edappally, Kochi, Kerala, India 1 rohithpunnoor@gmail.com

More information

Position Paper: How Certain is Recommended Trust-Information?

Position Paper: How Certain is Recommended Trust-Information? Position Paper: How Certain is Recommended Trust-Information? Uwe Roth University of Luxembourg FSTC Campus Kirchberg 6, rue Richard Coudenhove-Kalergi L-1359 Luxembourg uwe.roth@uni.lu ABSTRACT Nowadays

More information

5. Low-Power OpAmps. Francesc Serra Graells

5. Low-Power OpAmps. Francesc Serra Graells Intro Subthreshold Class-AB Rail-to-Rail Inverter-Based 1/43 5. Low-Power OpAmps Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat

More information

VLSI Design, Fall Design of Adders Design of Adders. Jacob Abraham

VLSI Design, Fall Design of Adders Design of Adders. Jacob Abraham 8. Design of Adders 1 8. Design of Adders Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 27, 2017 ECE Department, University

More information

IN RECENT years, the demand for power-sensitive designs

IN RECENT years, the demand for power-sensitive designs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 11, NOVEMBER 2005 1213 Computing With Subthreshold Leakage: Device/Circuit/Architecture Co-Design for Ultralow-Power Subthreshold

More information

TOTAL IONIZING DOSE TEST REPORT

TOTAL IONIZING DOSE TEST REPORT TOTAL IONIZING DOSE TEST REPORT No. 07T-RTAX2000S-D2S8N5 Sept. 5, 2007 J.J. Wang (650) 318-4576 jih-jong.wang@actel.com I. SUMMARY TABLE Parameter Tolerance 1. Functionality Passed 300 krad(sio 2 ) 2.

More information

A low supply voltage and wide-tuned CMOS Colpitts VCO

A low supply voltage and wide-tuned CMOS Colpitts VCO This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* A low supply voltage and wide-tuned CMOS Colpitts

More information

Genetically Generated Neural Networks I: Representational Effects

Genetically Generated Neural Networks I: Representational Effects Boston University OpenBU Cognitive & Neural Systems http://open.bu.edu CAS/CNS Technical Reports 1992-02 Genetically Generated Neural Networks I: Representational Effects Marti, Leonardo Boston University

More information

Statistical Analysis of MUX-based Physical Unclonable Functions

Statistical Analysis of MUX-based Physical Unclonable Functions Statistical Analysis of MUX-based Physical Unclonable Functions Yingjie Lao, Student Member, IEEE, and Keshab K. Parhi, Fellow, IEEE Abstract Physical unclonable functions (PUFs) can store secret keys

More information

Project: Feedback Systems for Alternative Treatment of Obstructive Sleep Apnea

Project: Feedback Systems for Alternative Treatment of Obstructive Sleep Apnea Project: Feedback Systems for Alternative Treatment of Obstructive Sleep Apnea Idea: Create auditory and visual feedback systems to relate the amount of force back to the person exerting the force Potential

More information

SUBTHRESHOLD CIRCUIT DESIGN AND OPTIMIZATION. Sungil Kim

SUBTHRESHOLD CIRCUIT DESIGN AND OPTIMIZATION. Sungil Kim SUBTHRESHOLD CIRCUIT DESIGN AND OPTIMIZATION Except where reference is made to the work of others, the work described in this thesis is my own or was done in collaboration with my advisor. This thesis

More information

MPS Baseline proposal

MPS Baseline proposal MPS Baseline proposal v260 Lennart Yseboodt, Philips lennart.yseboodt@philips.com David Abramson, Texas Instruments david.abramson@ti.com 1 Goals Allow modified MPS parameters as defined in yseboodt_01_0314.pdf

More information

Variation Aware Sleep Vector Selection in Dual Dynamic OR Circuits for Low Leakage Register File Design

Variation Aware Sleep Vector Selection in Dual Dynamic OR Circuits for Low Leakage Register File Design 1970 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 61, NO. 7, JULY 2014 Variation Aware Sleep Vector Selection in Dual Dynamic OR Circuits for Low Leakage Register File Design Na Gong,

More information

A BIST Scheme for Testing and Repair of Multi-Mode Power Switches

A BIST Scheme for Testing and Repair of Multi-Mode Power Switches A BIST Scheme for Testing and Repair of Multi-Mode Power Switches Zhaobo Zhang Dept. Electrical & Computer Eng. Duke University, USA Email: zz18@duke.edu Xrysovalantis Kavousianos, Yiorgos Tsiatouhas Dept.

More information

Perceptual Plasticity in Spatial Auditory Displays

Perceptual Plasticity in Spatial Auditory Displays Perceptual Plasticity in Spatial Auditory Displays BARBARA G. SHINN-CUNNINGHAM, TIMOTHY STREETER, and JEAN-FRANÇOIS GYSS Hearing Research Center, Boston University Often, virtual acoustic environments

More information

SSO Simulation with IBIS

SSO Simulation with IBIS SSO Simulation with IBIS Manfred Maurer manfred.maurer@siemens.com Industrial Solutions and Services Your Success is Our Goal www.siemens.de/edh Manfred Maurer Folie 1 Motivation SSN with IBIS in 2000

More information

Texas A&M University Electrical Engineering Department. ELEN 665 RF Communication Circuits Laboratory Fall 2010

Texas A&M University Electrical Engineering Department. ELEN 665 RF Communication Circuits Laboratory Fall 2010 Texas A&M University Electrical Engineering Department ELEN 665 RF Communication Circuits Laboratory Fall 2010 Laboratory #6: Analysis and Simulation of a CMOS VCO Objectives: To learn the use of periodic

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK. Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK. Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF MECHANICAL ENGINEERING QUESTION BANK Subject Name: ELECTRONICS AND MICRIPROCESSORS UNIT I Year/Sem:II / IV PART-A(2 MARKS) SEMICONDUCTORS AND RECTIFIERS 1. What

More information

EXERCISE: HOW TO DO POWER CALCULATIONS IN OPTIMAL DESIGN SOFTWARE

EXERCISE: HOW TO DO POWER CALCULATIONS IN OPTIMAL DESIGN SOFTWARE ...... EXERCISE: HOW TO DO POWER CALCULATIONS IN OPTIMAL DESIGN SOFTWARE TABLE OF CONTENTS 73TKey Vocabulary37T... 1 73TIntroduction37T... 73TUsing the Optimal Design Software37T... 73TEstimating Sample

More information

A Multiple-Crystal Interface PLL With VCO Realignment to Reduce Phase Noise

A Multiple-Crystal Interface PLL With VCO Realignment to Reduce Phase Noise IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 12, DECEMBER 2002 1795 A Multiple-Crystal Interface PLL With VCO Realignment to Reduce Phase Noise Sheng Ye, Member, IEEE, Lars Jansson, Member, IEEE,

More information

Chapter 1. Introduction

Chapter 1. Introduction Chapter 1 Introduction 1.1 Motivation and Goals The increasing availability and decreasing cost of high-throughput (HT) technologies coupled with the availability of computational tools and data form a

More information

Power Turn-On. IEEE 802.3af DTE Power via MDI March Dieter Knollman AVAYA

Power Turn-On. IEEE 802.3af DTE Power via MDI March Dieter Knollman AVAYA Power Turn-On IEEE 802.3af DTE Power via MDI March 2001 Dieter Knollman AVAYA djhk@netzero.net 1 Outline Simulate Power in PSE and PD Switches Switch Junction Temperature Examine PSE Current Limiting Options

More information

Student Performance Q&A:

Student Performance Q&A: Student Performance Q&A: 2009 AP Statistics Free-Response Questions The following comments on the 2009 free-response questions for AP Statistics were written by the Chief Reader, Christine Franklin of

More information

The Reach Profiler (REAPER): Enabling the Mitigation of DRAM Retention Failures via Profiling at Aggressive Conditions

The Reach Profiler (REAPER): Enabling the Mitigation of DRAM Retention Failures via Profiling at Aggressive Conditions The Reach Profiler (REAPER): Enabling the Mitigation of DRAM Retention Failures via Profiling at Aggressive Conditions Minesh Patel Jeremie S. Kim Onur Mutlu ETH Zürich Carnegie Mellon University ABSTRACT

More information

SO14 IC Serial Output IC For 14 Bits of Output

SO14 IC Serial Output IC For 14 Bits of Output ABCircuits www.abcircuits.com POB New Hill () 0-0 General Description SO IC Serial Output IC For Bits of Output The SO IC is designed to provide bits of output data to connect to RS-, RS-, USB, Ethernet

More information

Total Ionizing Dose Test Report. No. 16T-RT3PE3000L-CG896-QMLPK

Total Ionizing Dose Test Report. No. 16T-RT3PE3000L-CG896-QMLPK Total Ionizing Dose Test Report No. 16T-RT3PE3000L-CG896-QMLPK December 1, 2016 Table of Contents I. Summary Table... 3 II. Total Ionizing Dose (TID) Testing... 3 A. Device-Under-Test (DUT) and Irradiation

More information

Neuromorhic Silicon Neuron and Synapse: Analog VLSI Implemetation of Biological Structures

Neuromorhic Silicon Neuron and Synapse: Analog VLSI Implemetation of Biological Structures RESEARCH ARTICLE OPEN ACCESS Neuromorhic Silicon Neuron and Synapse: Analog VLSI Implemetation of Biological Structures Ms. Pooja Verma*, Ms. Neha Verma** *(Associate Professor, Department of Electronics

More information

AUTOMATIONWORX. User Manual. UM EN IBS SRE 1A Order No.: INTERBUS Register Expansion Chip IBS SRE 1A

AUTOMATIONWORX. User Manual. UM EN IBS SRE 1A Order No.: INTERBUS Register Expansion Chip IBS SRE 1A AUTOMATIONWORX User Manual UM EN IBS SRE 1A Order No.: 2888741 INTERBUS Register Expansion Chip IBS SRE 1A AUTOMATIONWORX User Manual INTERBUS Register Expansion Chip IBS SRE 1A 06/2006 Designation: Revision:

More information

More skilled internet users behave (a little) more securely

More skilled internet users behave (a little) more securely More skilled internet users behave (a little) more securely Elissa Redmiles eredmiles@cs.umd.edu Shelby Silverstein shelby93@umd.edu Wei Bai wbai@umd.edu Michelle L. Mazurek mmazurek@umd.edu University

More information

MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility

MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility 1 MAC Sleep Mode Control Considering Downlink Traffic Pattern and Mobility Neung-Hyung Lee and Saewoong Bahk School of Electrical Engineering & Computer Science, Seoul National University, Seoul Korea

More information

Impact of Particle Mass Distribution on the Measurement Accuracy of Low-Cost PM-Sensors

Impact of Particle Mass Distribution on the Measurement Accuracy of Low-Cost PM-Sensors Impact of Particle Mass Distribution on the Measurement Accuracy of Low-Cost PM-Sensors Bernd Laquai, 20.10.2017 Commodity PM-measurement devices are used more and more by citizens concerned about air

More information

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar

AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar ULTRA-LOW-POWER LOW BIO-INSPIRED INSPIRED AND BIOMEDICAL SYSTEMS Rahul Sarpeshkar Research Lab of Electronics Massachusetts Institute of Technology Electrical Engineering and Computer Science FOE Talk

More information

Electromyography II Laboratory (Hand Dynamometer Transducer)

Electromyography II Laboratory (Hand Dynamometer Transducer) (Hand Dynamometer Transducer) Introduction As described in the Electromyography I laboratory session, electromyography (EMG) is an electrical signal that can be recorded with electrodes placed on the surface

More information

E2 Programming Start Up Guide for the M400 drive.

E2 Programming Start Up Guide for the M400 drive. E2 Programming Start Up Guide for the M400 drive. 6 Necessary Applications Condenser M400 Drive VFD Status VFD Control Reset VFD Health VFD PCT HZ Added Application to Reduce Energy and Increase Life Cycle

More information

Conditional Distributions and the Bivariate Normal Distribution. James H. Steiger

Conditional Distributions and the Bivariate Normal Distribution. James H. Steiger Conditional Distributions and the Bivariate Normal Distribution James H. Steiger Overview In this module, we have several goals: Introduce several technical terms Bivariate frequency distribution Marginal

More information

Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University

Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University Designs of Low-Noise K-band K VCO Analog Design and System Integration Lab. Graduate Institute of Electronics Engineering National Taiwan University Introduction Outlines The proposed VCO architecture

More information

Fig. 1: Typical PLL System

Fig. 1: Typical PLL System Integrating The PLL System On A Chip by Dean Banerjee, National Semiconductor The ability to produce a set of many different frequencies is a critical need for virtually all wireless communication devices.

More information

Enzyme Analysis using Tyrosinase. Evaluation copy

Enzyme Analysis using Tyrosinase. Evaluation copy Enzyme Analysis using Tyrosinase Computer 15 Enzymes are molecules that regulate the chemical reactions that occur in all living organisms. Almost all enzymes are globular proteins that act as catalysts,

More information

TEPZZ 85_Z 4A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION

TEPZZ 85_Z 4A_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION (19) TEPZZ 8_Z 4A_T (11) EP 2 81 034 A1 (12) EUROPEAN PATENT APPLICATION (43) Date of publication: 2.03.1 Bulletin 1/13 (21) Application number: 1022.2 (1) Int Cl.: A61C /02 (06.01) A61C 19/04 (06.01)

More information

Assessment of Reliability of Hamilton-Tompkins Algorithm to ECG Parameter Detection

Assessment of Reliability of Hamilton-Tompkins Algorithm to ECG Parameter Detection Proceedings of the 2012 International Conference on Industrial Engineering and Operations Management Istanbul, Turkey, July 3 6, 2012 Assessment of Reliability of Hamilton-Tompkins Algorithm to ECG Parameter

More information

Data processing software for TGI/TGE series

Data processing software for TGI/TGE series 1/19 1. Overview Used with TGI or TGE series tensile and compression testing machines, the software enables efficient static strength testing in single tests, cyclical tests, or controlled (customized)

More information

Digital. hearing instruments have burst on the

Digital. hearing instruments have burst on the Testing Digital and Analog Hearing Instruments: Processing Time Delays and Phase Measurements A look at potential side effects and ways of measuring them by George J. Frye Digital. hearing instruments

More information

Signing IBIS model against DDR4 spec

Signing IBIS model against DDR4 spec Signing IBIS model against DDR4 spec Tushar Malik, Taranjit Kukal IBIS Asia Summit Shanghai, China Nov. 14, 2014 Agenda Problem Statement Overview of DDR compliance checks Methodology to check DDR compliance

More information

Pitfalls in Linear Regression Analysis

Pitfalls in Linear Regression Analysis Pitfalls in Linear Regression Analysis Due to the widespread availability of spreadsheet and statistical software for disposal, many of us do not really have a good understanding of how to use regression

More information

PHYSIOEX 3.0 EXERCISE 33B: CARDIOVASCULAR DYNAMICS

PHYSIOEX 3.0 EXERCISE 33B: CARDIOVASCULAR DYNAMICS PHYSIOEX 3.0 EXERCISE 33B: CARDIOVASCULAR DYNAMICS Objectives 1. To define the following: blood flow; viscosity; peripheral resistance; systole; diastole; end diastolic volume; end systolic volume; stroke

More information

An Energy Efficient Sensor for Thyroid Monitoring through the IoT

An Energy Efficient Sensor for Thyroid Monitoring through the IoT An Energy Efficient Sensor for Thyroid Monitoring through the IoT P. Sundaravadivel 1, S. P. Mohanty 2, E. Kougianos 3, U. Albalawi 4. NanoSystem Design Laboratory (NSDL, http://nsdl.cse.unt.edu) University

More information

7 Grip aperture and target shape

7 Grip aperture and target shape 7 Grip aperture and target shape Based on: Verheij R, Brenner E, Smeets JBJ. The influence of target object shape on maximum grip aperture in human grasping movements. Exp Brain Res, In revision 103 Introduction

More information

Adaptive Mode Control: A Static-Power-Efficient Cache Design

Adaptive Mode Control: A Static-Power-Efficient Cache Design Adaptive Mode Control: A Static-Power-Efficient Cache Design Huiyang Zhou, Mark Toburen, Eric Rotenberg, Tom Conte Center for Embedded Systems Research (CESR) Department of Electrical & Computer Engineering

More information

INFLUENCE OF AFTERBODY SHAPE ANGLE OF TRAPEZOIDAL BLUFF BODY ON MEASURED SIGNAL PARAMETERS

INFLUENCE OF AFTERBODY SHAPE ANGLE OF TRAPEZOIDAL BLUFF BODY ON MEASURED SIGNAL PARAMETERS 27 P a g e INFLUENCE OF AFTERBODY SHAPE ANGLE OF TRAPEZOIDAL BLUFF BODY ON MEASURED SIGNAL PARAMETERS ATUL BACHAL Mechanical Department, Government College of Engineering Aurnagbad, MH, India, atul.bachal@in.endress.com

More information

When designing with these products it is important that the Designer take note of the following considerations:

When designing with these products it is important that the Designer take note of the following considerations: Applicable Products HMC820LP6CE HMC821LP6CE HMC822LP6CE HMC824LP6CE HMC826LP6CE HMC828LP6CE HMC829LP6GE HMC830LP6GE HMC831LP6CE HMC832LP6GE HMC833LP6GE HMC834LP6GE HMC836LP6CE HMC837LP6CE HMC838LP6CE HMC839LP6CE

More information

The Effect of Code Coverage on Fault Detection under Different Testing Profiles

The Effect of Code Coverage on Fault Detection under Different Testing Profiles The Effect of Code Coverage on Fault Detection under Different Testing Profiles ABSTRACT Xia Cai Dept. of Computer Science and Engineering The Chinese University of Hong Kong xcai@cse.cuhk.edu.hk Software

More information

CCS Concepts Security and privacy Security in hardware Hardware Application-specific VLSI designs.

CCS Concepts Security and privacy Security in hardware Hardware Application-specific VLSI designs. Secure and Reliable XOR Arbiter PUF Design: An Experimental Study based on 1 Trillion Challenge Response Pair Measurements Chen Zhou, Keshab K. Parhi, Chris H. Kim Department of Electrical and Computer

More information

PoDL- Decoupling Network Presentation Andy Gardner. May 2014

PoDL- Decoupling Network Presentation Andy Gardner. May 2014 PoDL- Decoupling Network Presentation Andy Gardner May 2014 2 Requirements for 1-pair PoDL Decoupling Networks 1-pair PoDL relies upon decoupling networks at the PSE and PD to allow both power and data

More information

LATEST TRENDS on SYSTEMS (Volume I) New Seismocardiographic Measuring System with Separate QRS Detection. M. Stork 1, Z. Trefny 2

LATEST TRENDS on SYSTEMS (Volume I) New Seismocardiographic Measuring System with Separate QRS Detection. M. Stork 1, Z. Trefny 2 New Seismocardiographic Measuring System with Separate QRS Detection M. Stork 1, Z. Trefny 2 1 Department of Applied Electronics and Telecommunications University of West Bohemia, P.O. Box 314, 30614 Plzen,

More information

Implementation of a Multi bit VCO based Quantizer using Frequency to Digital Converter

Implementation of a Multi bit VCO based Quantizer using Frequency to Digital Converter International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 6 (2017) pp. 889-898 Research India Publications http://www.ripublication.com Implementation of a Multi bit VCO

More information

PLL. The system blocks and their input and output signals are as follows:

PLL. The system blocks and their input and output signals are as follows: PLL This is the most complicated of the three optional labs - but is definitely the coolest system and if you can get it working, you should be able to learn a lot. The top level block diagram of the PLL

More information

Part I. Boolean modelling exercises

Part I. Boolean modelling exercises Part I. Boolean modelling exercises. Glucose repression of Icl in yeast In yeast Saccharomyces cerevisiae, expression of enzyme Icl (isocitrate lyase-, involved in the gluconeogenesis pathway) is important

More information

PCA Enhanced Kalman Filter for ECG Denoising

PCA Enhanced Kalman Filter for ECG Denoising IOSR Journal of Electronics & Communication Engineering (IOSR-JECE) ISSN(e) : 2278-1684 ISSN(p) : 2320-334X, PP 06-13 www.iosrjournals.org PCA Enhanced Kalman Filter for ECG Denoising Febina Ikbal 1, Prof.M.Mathurakani

More information

Unified Padring Design Flow

Unified Padring Design Flow 2013 First International Conference on Artificial Intelligence, Modelling & Simulation Unified Padring Design Flow Ang Boon Chong, Ho Kah Chun PMC-Sierra, Design Service, Penang,Malaysia Boonchong.ang@pmcs.com,

More information

Design of the HRV Analysis System Based on AD8232

Design of the HRV Analysis System Based on AD8232 207 3rd International Symposium on Mechatronics and Industrial Informatics (ISMII 207) ISB: 978--60595-50-8 Design of the HRV Analysis System Based on AD8232 Xiaoqiang Ji,a, Chunyu ing,b, Chunhua Zhao

More information

Current Testing Procedure for Deep Submicron Devices

Current Testing Procedure for Deep Submicron Devices Current Testing Procedure for Deep Submicron Devices Anton Chichkov Dirk Merlier Peter Cox anton.chichkov@mie.alcatel.be dirk.merlier@mie.alcatel.be peter.cox@mie.alcatel.be Alcatel Microelectronics Westerring

More information

ULTRA LOW POWER SUBTHRESHOLD DEVICE DESIGN USING NEW ION IMPLANTATION PROFILE. A DISSERTATION IN Electrical and Computer Engineering and Physics

ULTRA LOW POWER SUBTHRESHOLD DEVICE DESIGN USING NEW ION IMPLANTATION PROFILE. A DISSERTATION IN Electrical and Computer Engineering and Physics ULTRA LOW POWER SUBTHRESHOLD DEVICE DESIGN USING NEW ION IMPLANTATION PROFILE A DISSERTATION IN Electrical and Computer Engineering and Physics Presented to the Faculty of the University of Missouri -

More information

FUSE TECHNICAL REPORT

FUSE TECHNICAL REPORT FUSE TECHNICAL REPORT 1 / 16 Contents Page 3 Page 4 Page 8 Page 10 Page 13 Page 16 Introduction FUSE Accuracy Validation Testing LBD Risk Score Model Details FUSE Risk Score Implementation Details FUSE

More information

Test Equipment Depot Washington Street Melrose, MA TestEquipmentDepot.com

Test Equipment Depot Washington Street Melrose, MA TestEquipmentDepot.com Test Equipment Depot - 800.517.8431-99 Washington Street Melrose, MA 02176 TestEquipmentDepot.com Table of Contents Table of Contents... iii Preface... viii Getting Started... 9 0.1 Breadboard... 9 0.2

More information

PHASE-LOCKED loops (PLLs) are one of the key building

PHASE-LOCKED loops (PLLs) are one of the key building IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 4, APRIL 2007 775 An On-chip Calibration Technique for Reducing Supply Voltage Sensitivity in Ring Oscillators Ting Wu, Member, IEEE, Kartikeya Mayaram,

More information

Learning Classifier Systems (LCS/XCSF)

Learning Classifier Systems (LCS/XCSF) Context-Dependent Predictions and Cognitive Arm Control with XCSF Learning Classifier Systems (LCS/XCSF) Laurentius Florentin Gruber Seminar aus Künstlicher Intelligenz WS 2015/16 Professor Johannes Fürnkranz

More information

ENVIRONMENTAL REINFORCEMENT LEARNING: A Real-time Learning Architecture for Primitive Behavior Refinement

ENVIRONMENTAL REINFORCEMENT LEARNING: A Real-time Learning Architecture for Primitive Behavior Refinement ENVIRONMENTAL REINFORCEMENT LEARNING: A Real-time Learning Architecture for Primitive Behavior Refinement TaeHoon Anthony Choi, Eunbin Augustine Yim, and Keith L. Doty Machine Intelligence Laboratory Department

More information

Genetic Algorithms and their Application to Continuum Generation

Genetic Algorithms and their Application to Continuum Generation Genetic Algorithms and their Application to Continuum Generation Tracy Moore, Douglass Schumacher The Ohio State University, REU, 2001 Abstract A genetic algorithm was written to aid in shaping pulses

More information

Comparability Study of Online and Paper and Pencil Tests Using Modified Internally and Externally Matched Criteria

Comparability Study of Online and Paper and Pencil Tests Using Modified Internally and Externally Matched Criteria Comparability Study of Online and Paper and Pencil Tests Using Modified Internally and Externally Matched Criteria Thakur Karkee Measurement Incorporated Dong-In Kim CTB/McGraw-Hill Kevin Fatica CTB/McGraw-Hill

More information

Mike Davies Director, Neuromorphic Computing Lab Intel Labs

Mike Davies Director, Neuromorphic Computing Lab Intel Labs Mike Davies Director, Neuromorphic Computing Lab Intel Labs Loihi at a Glance Key Properties Integrated Memory + Compute Neuromorphic Architecture 128 neuromorphic cores supporting up to 128k neurons and

More information

Spreading of Epidemic Based on Human and Animal Mobility Pattern

Spreading of Epidemic Based on Human and Animal Mobility Pattern Spreading of Epidemic Based on Human and Animal Mobility Pattern Yanqing Hu, Dan Luo, Xiaoke Xu, Zhangang Han, Zengru Di Department of Systems Science, Beijing Normal University 2009-12-22 Background &

More information

INVESTIGATION OF ROUNDOFF NOISE IN IIR DIGITAL FILTERS USING MATLAB

INVESTIGATION OF ROUNDOFF NOISE IN IIR DIGITAL FILTERS USING MATLAB Clemson University TigerPrints All Theses Theses 5-2009 INVESTIGATION OF ROUNDOFF NOISE IN IIR DIGITAL FILTERS USING MATLAB Sierra Williams Clemson University, sierraw@clemson.edu Follow this and additional

More information

IEEE SIGNAL PROCESSING LETTERS, VOL. 13, NO. 3, MARCH A Self-Structured Adaptive Decision Feedback Equalizer

IEEE SIGNAL PROCESSING LETTERS, VOL. 13, NO. 3, MARCH A Self-Structured Adaptive Decision Feedback Equalizer SIGNAL PROCESSING LETTERS, VOL 13, NO 3, MARCH 2006 1 A Self-Structured Adaptive Decision Feedback Equalizer Yu Gong and Colin F N Cowan, Senior Member, Abstract In a decision feedback equalizer (DFE),

More information

SOLUTIONS Homework #3. Introduction to Engineering in Medicine and Biology ECEN 1001 Due Tues. 9/30/03

SOLUTIONS Homework #3. Introduction to Engineering in Medicine and Biology ECEN 1001 Due Tues. 9/30/03 SOLUTIONS Homework #3 Introduction to Engineering in Medicine and Biology ECEN 1001 Due Tues. 9/30/03 Problem 1: a) Where in the cochlea would you say the process of "fourier decomposition" of the incoming

More information

What is a stepper motor?

What is a stepper motor? What is a stepper motor? 1. A stepper motor (or step motor) is a brushless, synchronous electric motor that can divide a full rotation into a large number of steps. The motor's position can be controlled

More information

The Long Tail of Recommender Systems and How to Leverage It

The Long Tail of Recommender Systems and How to Leverage It The Long Tail of Recommender Systems and How to Leverage It Yoon-Joo Park Stern School of Business, New York University ypark@stern.nyu.edu Alexander Tuzhilin Stern School of Business, New York University

More information

MULTIPLE LINEAR REGRESSION 24.1 INTRODUCTION AND OBJECTIVES OBJECTIVES

MULTIPLE LINEAR REGRESSION 24.1 INTRODUCTION AND OBJECTIVES OBJECTIVES 24 MULTIPLE LINEAR REGRESSION 24.1 INTRODUCTION AND OBJECTIVES In the previous chapter, simple linear regression was used when you have one independent variable and one dependent variable. This chapter

More information